SNUG India 2017
 
Agenda
Wednesday, July 12, 2017

Use the pull downs below to filter by time, location or track:
General Sessions
Wednesday, July 12, 2017
9:00 am - 10:15 amGrand BallroomWelcome & Keynote [More Info]
Ramadas Rajagopal, Synopsys
Dr. Aart De Geus, Synopsys
 
Implementation
Wednesday, July 12, 2017
10:30 am - 11:30 amRoyal BallroomBest Practices for High-Performance, Energy Efficient Implementations of the Latest ARM® Processors in 16-Nanometer FinFET Compact (16FFC) Process Technology using the Synopsys Galaxy™ Design Platform [More Info]
Shardul Kalamkar, ARM
Harissh Swaminathan, Synopsys
11:30 am - 12:00 pmRoyal BallroomHierarchical Implementation of a High-Performance CPU using ICC II: A Case Study [More Info]
Francis Chockalingam, Broadcom
Sreenath Ramakrishna, Broadcom
12:00 pm - 12:30 pmRoyal BallroomOptimization Strategies for Faster and Better QoR at Lower Technology Nodes [More Info]
Sajeesh Ammikkallingal, AMD
Mohini Polimetla, AMD
Shanmugapriya Murugesan, AMD
Sreedhara Khayadada, Synopsys
1:30 pm - 2:00 pmRoyal BallroomPNR Flow Customization for the Implementation of High Speed Cores [More Info]
Prashant Aggarwal, Qualcomm
Santosh KT, Qualcomm
Sagar Patel, Qualcomm
Ujjwal Prakash, Qualcomm
2:00 pm - 2:30 pmRoyal BallroomA Systematic Approach for Physical Implementation of Ultra-High Speed Intra Die Scalable Coherent Interface for High Performance/Complex SoCs [More Info]
2:30 pm - 3:00 pmRoyal BallroomDesign Complexity Beyond: Multi-Million, High Frequency, Advanced Node - using IC Compiler II [More Info]
Rajeev Singh, MediaTek
3:30 pm - 4:00 pmRoyal BallroomFormality Challenges for Low Power Based Flow for Multimillion Gate Complex SoCs [More Info]
Abhijeet Kumar, Broadcom
Govind Sreekumar, Broadcom
Richa Soni, Synopsys
4:00 pm - 5:00 pmRoyal BallroomGetting the Best Out from Design Compiler Graphical [More Info]
Philip Issac, Synopsys
 
Networking Opportunities
Wednesday, July 12, 2017
7:30 am - 8:45 amLobby GardenRegistration and Breakfast
10:15 am - 10:30 amPre-Function AreaBreak
12:30 pm - 1:30 pmPre-Function AreaNetworking Lunch
3:15 pm - 3:30 pmPre-Function AreaBreak
5:30 pm - 8:15 pmGrand BallroomNetworking Cocktail & Dinner
 
Signoff
Wednesday, July 12, 2017
10:30 am - 11:30 amJamavarThe Next Generation of HyperScale [More Info]
Sharath Narayana, Synopsys
11:30 am - 12:00 pmJamavarSignoff Timing Closure with Physical Aware Clock ECO Flow [More Info]
Kalyan Kumar O, Qualcomm
Nitin Gupta, Qualcomm
Raghav Gupta, Qualcomm
Arimilli Rajesh, Qualcomm
12:00 pm - 12:30 pmJamavarAutomated Clock Mesh Analysis Flow for Faster Turnaround [More Info]
1:30 pm - 2:00 pmJamavarEvaluation of Physically Aware PT ECO Flow for Complex Multi Million Instance and Multi Voltage Designs [More Info]
Navaneeth Krishnan M, NVIDIA
Ramesh Bathina, NVIDIA
Pradeep C R, Synopsys
2:00 pm - 2:30 pmJamavarSSD SOC 16FF MV Clock Balancing Methodology via PrimeTime and Handling Low Power Complexities [More Info]
Ganesh Selvaraj, Broadcom
Naresh Nadipilli, Broadcom
Pranjal Tiwari, Broadcom
2:30 pm - 3:15 pmJamavarStarRC Update – 7nm Accuracy and Performance [More Info]
Anand Veerasangaiah, Synopsys
3:30 pm - 4:00 pmJamavarEffective Hierarchical Timing Closure Methodology using PrimeTime Context [More Info]
Erik Gonzalez, AMD
Raghu Pattipati, AMD
Satyanarayana Medarametla, AMD
Vidhu Joshi, AMD
4:00 pm - 4:30 pmJamavarUsing PrimeTime Parametric OCV Analysis Approach for 28nm FDSOI Design Signoff [More Info]
Anil Yadav, STMicroelectronics
Ayan Ray, STMicroelectronics
Rahul Kheterpal, STMicroelectronics
 
Systems & IP
Wednesday, July 12, 2017
1:30 pm - 2:00 pmTurret BoardroomSMS - A STAR for Effective Memory Repair Solution [More Info]
Bharat Londhe, Seagate
Jay Shah, Seagate
Pankaj Sharma, Seagate
2:00 pm - 2:30 pmTurret BoardroomOptimizing SoC Integration of Synopsys DWC ADC for an Ultra-Low Power Solution [More Info]
Charul Agrawal, Analog Devices
Prakash Kuve, Analog Devices
2:30 pm - 3:15 pmTurret BoardroomAccelerating Typical Image Processing Applications using ARC EM Processors [More Info]
Abhishek Bit, Synopsys
3:30 pm - 4:00 pmTurret BoardroomTegra to Tegra Communication using VDK MultiSim [More Info]
Praveen Wadikar, NVIDIA
4:00 pm - 4:30 pmTurret BoardroomGenerating Statistically Accurate GFRBM Traffic Under System Load Conditions [More Info]
Abinash, NXP
Baljinder Sood, NXP
Meenakshi Chawla, NXP
Pushkar Sareen, NXP
4:30 pm - 5:00 pmTurret BoardroomEnabling Fault Injection in Automotive VP using Simulation Probe [More Info]
Aditya Raghunath, Infineon Technologies
Dineshkumar Selvaraj, Infineon Technologies
Kamalakar Rachakonda, Infineon Technologies
Sandeep Puttapa, Infineon Technologies
 
Test
Wednesday, July 12, 2017
10:30 am - 11:00 amTurret BoardroomSpyGlass® DFT ADV: High Testability, SoC Connectivity, Functional Safety and Reliability [More Info]
Fadi Maamari, Synopsys
11:00 am - 11:30 amTurret BoardroomMeeting IP Level Stringent Fault Coverage Goal with Z01X [More Info]
Vaibhav Marathe, Cypress
11:30 am - 12:00 pmTurret BoardroomDFT Implementation Technique to Limit At-Speed Switching Activity [More Info]
Akhtar Tamboli, Seagate
Aniruddha Bhasale, Seagate
Pankaj Sharma, Seagate
12:00 pm - 12:30 pmTurret BoardroomA Case Study for Optimizing Compression Ratios Across Blocks to Achieve Pattern Reduction using DFTMAX Ultra [More Info]
Daryl Pereira, Broadcom
Jayanthi Muthu Krishnan, Broadcom
Priyesh Kumar, Broadcom
Sekar Manickam, Broadcom
 
Verification
Wednesday, July 12, 2017
10:30 am - 11:30 amGrand BallroomIncrease Your Verification Productivity with VC Formal [More Info]
Neelabja Dutta, Synopsys
11:30 am - 12:00 pmGrand BallroomAm I Right or Am I Right: Formal Golden Specs Lead the Way to Embracing Datapath FV [More Info]
12:00 pm - 12:30 pmGrand BallroomUsing VC Formal to Verify Connections Generated from Connectivity Extraction Script and Generate Toggle Coverage Report [More Info]
Pratyush Mahapatra, NVIDIA
Akshay Bindiganavale, NVIDIA
Soumit Biswas, NVIDIA
Neelabja Dutta, Synopsys
1:30 pm - 2:00 pmGrand BallroomAccelerating UVM-Based Functional Verification of PCI Express [More Info]
Vidya Prabhu, Broadcom
Priyabrata Kundu, Broadcom
Sadiya Tarannum, Synopsys
2:00 pm - 2:30 pmGrand BallroomThe LPDDR4 VIP for Beyond Functional Verification [More Info]
Manohar Vaddineni, Qualcomm
Pandithurai Sangaiyah, Qualcomm
Gaurav Chugh, Synopsys
2:30 pm - 3:00 pmGrand BallroomDDR Subsystem Verification using Synopsys-DDR-VIP to Improve SoC/IP Quality and Productivity [More Info]
Prokash Ghosh, NXP
Rakesh Kumar, NXP
Bhanu Prakash Ambati, Synopsys
3:30 pm - 4:00 pmGrand BallroomFault Classification for ISO 26262 using Z01X Simulator [More Info]
Maheshwara Sharma, NVIDIA
Rahul Jain, NVIDIA
Karthik Mani, NVIDIA
4:00 pm - 4:30 pmGrand BallroomAccelerated Gate Level Simulation Through Annotation of RTL Simulation States [More Info]
4:30 pm - 5:15 pmGrand BallroomVCS Performance Innovations - Fine-Grained Parallelism [More Info]
Vijay Kishore Nammi, Synopsys