SNUG Silicon Valley 2019

March 20, 2019 - March 21, 2019

 

One moment please, the agenda is loading.

Agenda

Artificial Intelligence Automotive
Cloud Custom Implementation & AMS
Custom Implementation & AMS General Sessions
IP Lunch & Learn
Networking Opportunities Physical Implementation
RTL Implementation Signoff & Characterization I
Signoff & Characterization II Test
User Content Reviewed by the Technical Committee Verification Continuum I
Verification Continuum II Verification Continuum III
March 20, 2019
7:30 am - 6:00 pm
Registration
RegistrationSession TypeSession Sub Type DescriptionRegistration opens at 7:30 am and will stay open throughout the day.Author Target Audience Santa Clara Convention Center
9:00 am - 10:30 am
Keynote: Shift Happens!
Synopsys
Keynote: Shift Happens!Session TypeSession Sub Type DescriptionPlease join Aart as he explores how the entire semiconductor landscape is benefiting from the massive “shift left” efforts underway in design, test, verification, and software development.Author Aart de Geus - SynopsysTarget Audience All Mission City Ballroom
11:00 am - 11:45 am
Arm Physical Design Yield Analysis with HSPICE High Sigma Monte Carlo
Arm
Arm Physical Design Yield Analysis with HSPICE High Sigma Monte CarloSession TypeSession Sub Type DescriptionArm's Physical IP logic libraries and memory compilers are produced for use as building blocks for all high performance and low power SOCs. Functionally, the application can be purely digital or analog mixed-signal ICs. With the wide range of possible applications, a similar variety of operation needs to be considered and accounted for during development. Beyond the standard Process/Voltage/Temperature(PVT) fixed corner simulations, Monte Carlo (MC) simulations are required to address areas of operations not covered in the standard PVT corner simulations. MC simulations can uncover potential issues and weaknesses in the design of Arm's IP before it is released for use in SOCs. However, this requires large data samples that can only be achieved through a high number of simulation iterations. HSPICE High Sigma Monte Carlo (HSMC), part of HSPICE Advanced Variation Analysis (AVA) toolkit, provides an alternative solution to traditional MC simulation. With HSMC, higher order sigma analysis is possible in a shorter time span with equivalent accuracy to standard MC methods. This paper will analyze cases on Arm's Physical IP to compare the results from HSMC versus traditional MC.Author Tom Mahatdejkul - ArmTarget Audience Introductory 212
11:00 am - 11:45 am
Enabling AI with IP
Synopsys
Enabling AI with IPSession TypeSession Sub Type DescriptionThe progress of Artificial Intelligence innovations has accelerated, driving new chipset architectures targeted at embedded vision, autonomous driving, industrial automation, consumer entertainment, agriculture, and more. When planning the architecture of an embedded vision chip for AI applications, designers generally start with the processor and leave the interfaces (Ethernet, MIPI, CCIX, PCIe) for later in the process. However, the processors access to real-time data, memory, additional processing capabilities, or the cloud is critical to the performance of the SoC and the AI system as a whole. This presentation will review current market trends that are driving the need for bandwidth in several different applications. It will provide case studies of example AI chipsets for with a particular focus on inference data rate trends in different applications.Author Gordon Cooper - SynopsysTarget Audience All Mission City 2
11:00 am - 11:45 am
Leveraging Test Fusion for Optimal PPA
Synopsys
Leveraging Test Fusion for Optimal PPASession TypeSession Sub Type DescriptionClearly demarcated RTL creation, synthesis, test, and place-and-route phases in disparate design flows require rework when transitioning from one phase to the next. These iterations delay time-to-results (TTR) as well as degrade power, performance and area (PPA). Come learn how Test Fusion, the latest evolution of Synopsys synthesis-based test technology, removes EDA tool boundaries and significantly improves QoR with early RTL testability analysis and physically-aware DFT. Topics to be covered in this tutorial are steps for RTL test sign-off, high-speed scan synthesis, physically-aware test points synthesis and specific methods for compression congestion reduction for both DFT shift-left and Fusion Compiler flows.Author Surya Duggirala, Steve Pateras - SynopsysTarget Audience Intermediate Mission City 1
11:00 am - 11:45 am
On Creating Reusable Stimulus Between Units and Clusters
Samsung
On Creating Reusable Stimulus Between Units and ClustersSession TypeSession Sub Type DescriptionWhile verifying units across a hierarchy of environments, significant time can be saved if the stimulus generation infrastructure can be leveraged and/or reused across them. Reusable stimulus is useful to validate assumptions made at both unit and cluster levels and to generate additional stress conditions at cluster level. In this paper, we propose a methodology to achieve this by use of a common constrained random stimulus generator package invoked by reusable sequences and associated flow control. We also present some of the design choices we made during the development of such reusable stimulus for Samsung’s graphics processor.Author Krishna Gudlavalleti, Venkat Raman, Aparna Srinivasan - SamsungTarget Audience Ballroom H
11:00 am - 11:45 am
PrimeTime 2019.03 Update
Synopsys
PrimeTime 2019.03 UpdateSession TypeSession Sub Type DescriptionThis tutorial will provide an overview of the latest PrimeTime features and enhancements, further raising the bar for industry signoff analysis in the ever growing complexity of chip designs at smaller process nodes. Highlights include major enhancements to performance throughout the flow, technologies such as Advanced Multi-Input Switching (MIS), high sigma critical path simulation, and via variation. Enhancement to ECO and Hierarchical flows will also be reviewed.Author Robert Landy - SynopsysTarget Audience Introductory, Intermediate Great America J
11:00 am - 11:45 am
Soft Error Analysis for Functional Safety
Synopsys
Soft Error Analysis for Functional SafetySession TypeSession Sub Type Description
Automotive systems have stringent functional safety requirements as defined by the ISO 26262 standard. Soft errors are hardware disturbances caused by external factors such as radiation that lead to bit-flip in registers which can cause catastrophic failures during the operation of a safety-critical electronic device.
 
This tutorial shows how static analysis of soft error propagation can be used either at RTL or gates to calculate the probability of avoiding a failure as reflected in the Single Point Fault Metric (SPFM). You will learn how to run a fast analysis to identify and address hotspots early in the design cycle and swap functional safety critical registers with "hardened" cells.
 
Author Fadi Maamari - SynopsysTarget Audience All
203/204
11:00 am - 11:45 am
Using Simulation Acceleration to Speed Block and Platform Level IP Verification
Synopsys
Using Simulation Acceleration to Speed Block and Platform Level IP VerificationSession TypeSession Sub Type DescriptionDesign complexity growth has inspired new techniques to accelerate digital simulation of circuits by taking full advantage of high-performance hardware resources available to verification teams. Latest techniques include fine-grained parallelism, which can significantly reduce simulation turn-around time by automatically partitioning the design to execute on multiple processor cores, and simulation acceleration, which accelerates the verification of block and platform level IP by integrating fast simulation with the specialized, high-performance hardware provided by fast emulation systems.Author Hillel Miller - SynopsysTarget Audience Intermediate Ballroom G
11:00 am - 12:00 pm
StarRC Product Update and Advanced Analysis/Debugging with StarRC Parasitic Explorer
AMD, Synopsys
StarRC Product Update and Advanced Analysis/Debugging with StarRC Parasitic ExplorerSession TypeSession Sub Type DescriptionIn this tutorial we will introduce Parasitic Explorer in the starrc_shell. Parasitic Explorer is a multipurpose analysis/debug tool that enables the user to examine the details of the StarRC parasitic database (GPD). GPD is a binary database that is consumed directly by downstream tools like PrimeTime. Disk space reduction and faster read time are a by-product of including multiple extraction corners in a single database as compared to handling multiple SPEF files. With Parasitic Explorer, users are able to query detailed information of every net in the design. Special visualization features are also available to examine interconnect topologies with annotated R and C values. Additional features and use examples will be shown to highlight the power of this environment.Author Esha Dubey - AMD; Priya Gianchandani - SynopsysTarget Audience Intermediate Great America K
11:00 am - 12:30 pm
Panel: Design and Verification on the Cloud
Astera Labs; Microsoft, Qualcomm, Xilinx, Synopsys
Panel: Design and Verification on the CloudSession TypeSession Sub Type DescriptionCloud computing has proven to be a viable platform for modern workloads such as AI/ML, e-commerce and streaming services, but not as popular in its support of traditional workloads, such as chip design. Chip design workloads are different with complex data requirements, impacted by network latency,bandwidth, and most important, interrupt- driven by the chip designer or engineers. Over the past year, the cloud computing landscape has improved significantly. Public and managed cloud service providers have introduced products to support the migration of large-scale workloads, improved their geographic presence and services to reduce latency where possible, and started to partner with Synopsys in optimizing various cloud infrastructure components. Synopsys has also increased its efforts around optimizing tools & workflows to fit within the boundaries of the cloud computing landscape. Come listen to public cloud providers and Synopsys customers discuss the value of cloud and best practices to use the public cloud resources.Author Simon Burke - Xilinx; Preeth Chengappa - Microsoft; Derek Magill - Qualcomm; Jitendra Mohan - Astera Labs; Ramki Balasubramanian - SynopsysTarget Audience Introductory, Intermediate 209/210
11:00 am - 12:30 pm
Panel: Peering Beneath the Surface: A Look Inside Fusion Compiler, its Technology Underpinnings and how This Next-Generation RTL-to-GDSII Solution is Natively Architected to Deliver Class-Leading QoR and Time-to-Results
Synopsys
Panel: Peering Beneath the Surface: A Look Inside Fusion Compiler, its Technology Underpinnings and how This Next-Generation RTL-to-GDSII Solution is Natively Architected to Deliver Class-Leading QoR and Time-to-ResultsSession TypeSession Sub Type DescriptionIn this session, senior R&D from Synopsys will dive into Fusion Compiler and share how innovations spanning RTL implementation, single-framework optimization through to golden-accuracy, picosecond-signoff are being diffused throughout Fusion Compiler to offer the most convergent and highest performing implementation solution in the industry. With Q&A as a key focus of this session, you will be invited to probe details of Synopsys latest implementation offering and potentially help to shape the future direction of the product.Author Target Audience All Hall A2
11:45 am - 12:30 pm
Addressing Exascale Emulation Debug Complexity - The Case for a System-Level Approach
Synopsys
Addressing Exascale Emulation Debug Complexity - The Case for a System-Level ApproachSession TypeSession Sub Type Description
Due to performance and capacity advantages, Emulation allows validation of billion gate design running billion cycle applications. To debug an issue in such a system, poses several challenges due to amount of debug data generated. Traditional iterative waveform level debug is no longer effective as the sole means to find root causes for incorrect behavior. Even a million cycle capture is a small fraction of the total test length and multiple iterations are required to find the window of interest. In case of transient failures of the emulation setup, such methods can easily run in circles and finding the failure is becoming unpredictable.
 
In this tutorial, we present how to use ZeBu to cut debug time after a failure has been reported during a multi-billion cycles regression. Through unlimited streaming of the designs system log all key information is captured during the emulation run. From there the user can quickly determine the window of interest and using the proven ZeBu replay technology and powerful Verdi debug, the bug can be root caused quickly. We summarize the tutorial with real world examples to show the actual benefit of the ZeBu approach.
Author Ribhu Mittal - SynopsysTarget Audience Intermediate
Ballroom G
11:45 am - 12:30 pm
Advanced ATPG and Diagnostics for Emerging Nodes
Synopsys
Advanced ATPG and Diagnostics for Emerging NodesSession TypeSession Sub Type DescriptionIn the never-ending effort to lower DPPM (Defect Parts Per Million), state-of-the-art testing techniques are required to both increase defect detection and improve diagnostics accuracy and turn-around time. This tutorial session provides an update on the latest ATPG capabilities as well as fault models to improve defect detection and diagnostics, especially for FinFETs. Updates include slack-based transition and cell-aware tests, and increased pattern generation and diagnostics throughput.Author Brian Archer, Robert Ruiz - SynopsysTarget Audience Intermediate Mission City 1
11:45 am - 12:30 pm
Analog Fault Simulation: A Systematic Approach to Ensuring Functional Safety and Low Defect Rate in Automotive ICs
Synopsys
Analog Fault Simulation: A Systematic Approach to Ensuring Functional Safety and Low Defect Rate in Automotive ICsSession TypeSession Sub Type DescriptionThe growth in Automotive applications has resulted in the need for more stringent safety and reliability requirements for Automotive ICs. In particular, IC designers working on safety-critical applications such as Autonomous Driving, Advanced Driver-assistance Systems, and Connected Car, are now looking to adopt rigorous and systematic methodologies such as fault simulation to ensure functional safety and high reliability. In this presentation, we will share Synopsys' perspectives on the use of Analog Fault Simulation Product (based on CustomSim and FineSim technology) for functional safety and high reliability.Author Tom Hsieh, Anand Thiruvengadam - SynopsysTarget Audience All 203/204
11:45 am - 12:30 pm
Designing AI Chips
Synopsys
Designing AI ChipsSession TypeSession Sub Type DescriptionThe semiconductor industry is seeing a lot of design starts of late, hugely driven by the need for AI accelerators and AI chips. This trend is here to stay, and using the right methodology while designing AI chips is the key to delivering a high-performance chip that meets both PPA (Power, Performance, Area) targets and aggressive time-to-market schedules. This tutorial is designed for those interested in designing AI systems, and will delve into how to use Synopsys tools, IP, and AI chip architecture model library to profile neural network models, explore architecture design space, perform efficient simulation, and analyze results to make design decisions.Author Tim Kogel, Jeffery Liao, Shan Tang - SynopsysTarget Audience All Mission City 2
11:45 am - 12:30 pm
Integrated Regression Convergence
Synopsys
Integrated Regression ConvergenceSession TypeSession Sub Type DescriptionVerification environments are built on a combination of tests, testbenches, and coverage models. While these elements are crucial for verifying designs and finding bugs, coordination between these elements that are required to successfully converge on a verified design. This session explores how to use verification planning and execution technologies to bind these elements together and create an infrastructure for regressing designs, analyzing progress, and converging on complete verification.Author Bart Thielges - SynopsysTarget Audience Introductory Ballroom H
11:45 am - 12:30 pm
Making Sure Your Design is Robust Enough Against Variations - Efficient Monte Carlo Solution with HSPICE, FineSim and CustomSim
Synopsys
Making Sure Your Design is Robust Enough Against Variations - Efficient Monte Carlo Solution with HSPICE, FineSim and CustomSimSession TypeSession Sub Type DescriptionValidating a design to be sufficiently robust against variations under the constraints of resources and turnaround time(TAT) can be a daunting task for a designer. There is increased interest in finding efficient variability solutions while reducing these costs. Synopsys offers solutions in this space using advanced methods including the use of machine learning. The Sigma Amplification flow (supported in HSPICE, FineSim and CustomSim) addresses Monte Carlo simulations targeting 3-4 Sigma for analog IP robustness analysis. The High Sigma Monte Carlo flow (supported in HSPICE only) using machine learning techniques targets standard cell and memory bit-cell for 4-5.75 Sigma yield analysis. Users can reduce the computation cost by 10X to100X if they employ these flows for the validation of their designs. The Sigma Amplification flow has been used successfully by Synopsys IP groups for many years now. The High Sigma Monte Carlo flow is a new technology in this domain. This tutorial covers the concepts, usage models and applications for both these flows.Author Manjunatha Vadiarillat - SynopsysTarget Audience Intermediate 212
11:45 am - 12:30 pm
PrimeTime Productivity Improvement: DMSA Best Practices & IMSA Roll-Up Reporting
Synopsys
PrimeTime Productivity Improvement: DMSA Best Practices & IMSA Roll-Up ReportingSession TypeSession Sub Type DescriptionWant to know how to best use computing and license resources for Distributed Multi-Scenario Analysis (DMSA)? DMSA scenario affinity and virtual workers will help you to maximize your computing resources, and core-based licensing will help you to maximize your license resources. Need to reduce TAT and computing resources for analyzing paths from multiple scenarios? Interactive Multi-Scenario Analysis (IMSA) roll-up reporting allows you access timing path collections and attributes from different scenarios. This feature minimizes memory usage and disk space by restoring just timing path and attribute data. All PrimeTime users are invited to join this tutorial where we discuss these topics.Author Jennifer Pyon - SynopsysTarget Audience Introductory, Intermediate Great America J
12:00 pm - 12:30 pm
StarRC Parameterized Spice Capability
GLOBALFOUNDRIES
StarRC Parameterized Spice CapabilitySession TypeSession Sub Type Description
As device and interconnect geometries shrink with every process, process variation plays an increasingly important role. In particular, the impact of parasitic RC variation of interconnect becomes more critical.
 
Traditional methods of realizing the extraction and simulation of parasitic RC variation rely on Parasitic Extraction (PEX) runsets that realize one corner at a time, often with all interconnects skewing to the same corner at once. For example, all interconnect may realize maximum capacitance in the same netlist. These traditional methods are overly conservative and sacrifice performance for unnecessary guard band.
 
In this paper, we will discuss the StarRC Parameterized Spice Netlisting capability. This capability allows the user to reduce the pessimism in traditional PEX corners, by allowing for per level settings of interconnect RC variation in simulation, and hence enabling for a Monte Carlo simulation to determine the optimal PEX corners for a given circuit.
 
An overview of the tool capability and required collateral will be provided, and use models, with results, will be described.
 
Author Jagannathan Vasudevan, Cole Zemke, David Permana, Sharon Jo, Srilata Raman - GLOBALFOUNDRIESTarget Audience Intermediate
Great America K
12:30 pm - 2:00 pm
Lunch & Learn: Expanding Boundaries: Next-Generation Design-for-Test (DFT)
Lunch & Learn: Expanding Boundaries: Next-Generation Design-for-Test (DFT)Session TypeSession Sub Type DescriptionNew, ground-breaking test technology from Synopsys will provide unprecedented DFT integration from RTL to GDS as well as superior testing results. Innovative and extensive capabilities will efficiently target higher manufacturing test throughput and faster runtime for automotive applications. Join us to learn about the future of test.Author Target Audience All Mission City Ballroom
12:30 pm - 2:00 pm
Lunch & Learn: Industry Leaders Verify with Synopsys
Samsung; Synopsys
Lunch & Learn: Industry Leaders Verify with SynopsysSession TypeSession Sub Type DescriptionSynopsys has partnered with industry leaders to define and deploy breakthrough technologies that not only increase the speed and throughput of SoC verification but also offer innovative approaches to avoid bugs altogether, detect them as early as possible, debug more efficiently, and enable early software bring-up. At this luncheon, you will hear industry experts share their viewpoints on what is driving SoC complexity, how their teams have achieved success, how you can apply their insights on your next project as well as discussions about the latest developments in the verification landscape and advanced technology.Author Target Audience All Hall A1
12:30 pm - 2:00 pm
Networking Lunch
Networking LunchSession TypeSession Sub Type DescriptionGeneral Lunch Open to All AttendeesAuthor Target Audience All Hall D
2:00 pm - 2:45 pm
Analog Fault Simulation: A Systematic Approach to Ensuring Functional Safety and Low Defect Rate in Automotive ICs
Synopsys
Analog Fault Simulation: A Systematic Approach to Ensuring Functional Safety and Low Defect Rate in Automotive ICsSession TypeSession Sub Type DescriptionThe growth in Automotive applications has resulted in the need for more stringent safety and reliability requirements for Automotive ICs. In particular, IC designers working on safety-critical applications such as Autonomous Driving, Advanced Driver-assistance Systems, and Connected Car, are now looking to adopt rigorous and systematic methodologies such as fault simulation to ensure functional safety and high reliability. In this presentation, we will share Synopsys' perspectives on the use of Analog Fault Simulation Product (based on CustomSim and FineSim technology) for functional safety and high reliability.Author Tom Hsieh, Anand Thiruvengadam - SynopsysTarget Audience All No location
2:00 pm - 2:45 pm
Beyond STA - Design Yield Analysis
Synopsys
Beyond STA - Design Yield AnalysisSession TypeSession Sub Type DescriptionFor the past 25+ years, PrimeTime and Static Timing Analysis (STA) methodologies have continuously evolved to help designers reach best PPA while meeting design requirements. New design trends and multi-physics effects at advanced nodes are imposing new challenges to design yield, driving design signoff methodologies beyond static analysis. Join this session and learn how Synopsys is reshaping the future of signoff with machine learning-accelerated statistical yield analysis technologies. This session will benefit current PrimeTime users and Implementation engineers.Author Jacob Avidan - SynopsysTarget Audience Introductory, Intermediate Great America J
2:00 pm - 2:45 pm
Cone by Cone Functional ECOs with Formality Ultra
Broadcom
Cone by Cone Functional ECOs with Formality UltraSession TypeSession Sub Type Description
In general, for any change in RTL, a functional ECO is implemented directly in the place and route netlist. This breaks the ASIC flow. The ECO is rolled back to the synthesized netlist to re-affirm the ASIC flow.
 
After rolling back the ECO, re-synthesizing the new RTL and comparing the new netlist with the old netlist is not recommended for following reasons: 
  • Most RTL have some don't care spaces and different synthesis runs can optimize the don't care spaces differently. The equivalence checking between old netlist and new netlist may fail despite both netlists being logically equivalent. 
  • Two different synthesis netlists may have different implementation architecture of large multipliers. In such cases, the equivalence checking may result in an "inconclusive" verification. 
We propose a method with Formality Ultra such that the new synthesized netlist is referred directly to implement functional ECO in old synthesized netlist. This flow allows an optimal logic in the functional ECO. The equivalence check between new RTL and modified old synthesized netlist is performed using original SVF, modified SVF and mapped SVF. With the recommendation in this paper, the functional changes are carried forward in short turnaround time without breaking the ASIC flow.
 
Author Sathappan Palaniappan - BroadcomTarget Audience Advanced
Hall A3
2:00 pm - 2:45 pm
Coverage Management using Adaptive Exclusions, Unreachability Analysis and Flexible Merging of Cover Groups
Qualcomm; Synopsys
Coverage Management using Adaptive Exclusions, Unreachability Analysis and Flexible Merging of Cover GroupsSession TypeSession Sub Type DescriptionVerification of multiple design configurations targeting different product tiers using the same core architecture can be done gracefully using an efficient coverage management flow. The flow focuses on re-using existing exclusion collateral across different design tiers and then targeting the remaining holes using unreachability analysis (UNR) at both top and sub-hierarchy levels. Additionally, a flexible merging of functional coverage is achieved using the Unified Report Generator (URG). This helps in re-use of functional coverage models by merging both the common and delta feature changes when moving the verification task from one design configuration to another. A combination of efficiently using a Synopsys flow that incorporates UNR, URG and adaptive exclusion features not only guarantee a reduced turnaround time, but also makes it easier to manage the projects.Author Manisha Tatikonda - Qualcomm; Shaun Evans, Dharmesh Mahay - SynopsysTarget Audience Intermediate Ballroom H
2:00 pm - 2:45 pm
DFT Shifts Left to Accelerate Time to Results!
Synopsys
DFT Shifts Left to Accelerate Time to Results!Session TypeSession Sub Type DescriptionDesign for test (DFT) complexity continues to rise, creating post-place and route validation bottlenecks and risking design schedules. The operation of compression logic, logic BIST, memory BIST, core wrappers, access ports, multiple test modes and much more must be validated to ensure correct functionality. This tutorial will provide an overview of Synopsys latest test capabilities to accelerate DFT validation earlier in the flow.Author Adam Cron, Steve Pateras - SynopsysTarget Audience Intermediate Mission City 1
2:00 pm - 2:45 pm
Enabling Automotive - Quality Embedded Memories: Design and Test Enhancements
Synopsys
Enabling Automotive - Quality Embedded Memories: Design and Test EnhancementsSession TypeSession Sub Type DescriptionAutomotive applications pose stringent constraints on SoCs to support very low failure/FIT rates, very high quality of ~0 DPPM (defective parts per million), and long operational lifetimes withstanding a wide range of temperatures (-40C to 125C/150C). With increasing embedded memory content in recent automotive SoCs, a 1 DPPM requirement at SoC level translates to a 1 DPPB requirement at the embedded memory component level from a statistical perspective. Addressing this quality challenge to meet the needed ISO 26262 ASIL levels requires a holistic approach to the design and test of memories in 16nm and below technology nodes by ensuring that the design is tested in margin. In this paper, we present joint case-studies between Texas Instruments and Synopsys on techniques that were implemented in 16FFC embedded memories across IP design margin analysis, IP design enhancements for test quality improvement, testchip robustness improvement, and SoC design and test/screen improvements, to enable high automotive quality from the overall system perspective.Author Frank Cano, Devanathan Varadarajan - Texas Instruments; Bruce Prickett Jr., Vineet Sachan, Shailendra Sharad - SynopsysTarget Audience Advanced 203/204
2:00 pm - 2:45 pm
IC Compiler II Update
Synopsys
IC Compiler II UpdateSession TypeSession Sub Type DescriptionThis tutorial provides an update on the latest design implementation technologies available in the IC Compiler II 2018.06 and 2019.03 releases. The presentation includes highlight of the new features such as total power optimization, advanced node enablement and optimal out-of-the-box QoR to achieve best performance, power and area targets with fastest time to results. Attend this session to learn how to deploy these latest technological advancements in your physical implementation flow. Target Audience: All IC Compiler II users.Author John Griner - SynopsysTarget Audience All Hall A2
2:00 pm - 2:45 pm
Improving Characterization Turnaround Time: Production Library Characterization in 24hrs
Improving Characterization Turnaround Time: Production Library Characterization in 24hrsSession TypeSession Sub Type DescriptionCharacterization is one of the major time consuming and compute intensive steps, accounting for greater than 50% of the time spent in generating standard cell libraries. Improving the characterization turnaround time can significantly reduce the time to generate a library and deliver faster library updates to design teams and reduce overall design cycle time. In this paper we describe techniques to dramatically reduce library characterization turnaround time using a combination of characterization tool and flow methodology enhancements to 1) manage IO bottlenecks, 2) innovative counter-intuitive system level optimizations and 3) data management techniques for simulation parallelization. We summarize various experiments conducted to arrive at the final flow design and present data on production runs for two technology nodes which completed within 24 hours. We briefly discuss future improvements in the pipeline to reduce the characterization TAT further to complete production library runs overnight.Author Target Audience Advanced Great America K
2:00 pm - 2:45 pm
Physical Verification on the Cloud - Solving Physical Signoff TAT Challenges
Synopsys
Physical Verification on the Cloud - Solving Physical Signoff TAT ChallengesSession TypeSession Sub Type DescriptionFor todays advanced node designs, full chip DRC sign off can take multiple days for a single iteration. On-time closure of physical verification is a key concern for designers. Cloud computing is ideally suited to address the runtime challenge for physical verification flows. Synopsys IC Validator is a cloud-ready physical verification solution, certified for TSMC VDE platform. The massively parallel distributed processing engine of IC Validator takes full advantage of the clouds flexibility and elasticity to accelerate physical verification closure.Author Li-Siang Lee - Barefoot Networks; Dan Page - SynopsysTarget Audience Introductory, Intermediate 209/210
2:00 pm - 2:45 pm
Supercharging SoC Validation
Supercharging SoC ValidationSession TypeSession Sub Type DescriptionPrototyping and emulation technologies are used extensively for software/firmware development and hardware/firmware validation. Prototyping can reach speeds of tens of MHz and can run with real world IOs enabling SW/FW development and debug. Emulation and prototyping offer different tradeoffs for bring-up effort, performance, RTL debug capability and capacity. A new prototyping technology was deployed to leverage emulation techniques on a HAPS platform configurable for performance. We will show SoC examples running at multi-MHz speeds, with rich HW debug capabilities, and using fully automated builds without any RTL modifications. We will explain the use of transactors, memory write/read back-door mechanism, triggering on signals, forcing signal values during runtime, and waveform generation. This new technology enables fast bring-up based on emulation models, and thereby shortens the time it takes to provide HAPS platforms to end users. We will give also give an outlook on enhancements we expect from future versions of this technology.Author Target Audience Intermediate Ballroom G
2:00 pm - 3:30 pm
Where Are We on the Road to Artificial Intelligence in Chip Design?
Synopsys
Where Are We on the Road to Artificial Intelligence in Chip Design?Session TypeSession Sub Type DescriptionThe evolution of machine learning (ML) technologies is enabling a new wave of innovation with disruptive potential across chip design and verification. Already in production, AI-enhanced tools are delivering order-of-magnitude turnaround time improvements. New, AI-driven applications are emerging, bringing no-human-in-the-loop levels of productivity. This tutorial will discuss recent advancements in ML R&D, and illustrate several use-cases of applying AI to key challenges in chip design and verification.Author Stelios Diamantidis, Arun Venkatachar, Joe Walston - SynopsysTarget Audience All Mission City 2
2:45 pm - 3:30 pm
Accurate Variation Modelling Using Machine Learning
Qualcomm, Synopsys
Accurate Variation Modelling Using Machine LearningSession TypeSession Sub Type DescriptionWith continuous technology scaling, we are targeting near or sub-threshold voltage operation. This creates a challenge for IC designers as non-Gaussian timing variation is prevalent at ultra-low voltage which impacts design robustness and yield. LVF and more recently Moment based LVF is used to model the variation accurately in the liberty. Sensitivity Based Analysis alone is not able to guarantee accuracy of the LVF and moment numbers generated in the liberty at these ultra low voltages. SiliconSmart uses Machine Learning algorithms to enhance the accuracy of the sigma and moment numbers at the expense of extra runtime. This work proposes a systematic framework to achieve the engineering trade of using more accurate mode of LVF char only when needed and critical for sign-off. This paper delves into the runtime versus accuracy tradeoffs of using SiliconSmarts Machine learning approach and the use of enhanced SiliconSmart compare_library application to qualify LVF and Moment values in the liberty.Author Sucheta Harish, Animesh Datta, Haritsa C K, Geethesh Pillari, Xiangguang Liu, Paul Perriera - Qualcomm; Felipe Frantz - SynopsysTarget Audience Advanced Great America K
2:45 pm - 3:30 pm
Adopting IC Compiler II for Chip-level Place-and-Route - A New Users Experience
Broadcom
Adopting IC Compiler II for Chip-level Place-and-Route - A New Users ExperienceSession TypeSession Sub Type Description
This paper discusses the learning experience and challenges of a new IC Compiler II user for chip-level place-and-route of a production chip. It starts out with the comparative pros and cons of IC Compiler II and the reasoning behind choosing ICC Compiler II. Then it dives deeper into the flow and issues that were faced as a new user and how those were resolved.
 
The topics include library preparation, chip-level UPF, floorplanning, PG, Flip-Chip and bump-routing, pin-assignment (of PnR blocks) and chip-level integration. In each of these stages, there were some challenges related to using a new PnR tool. With Synopsys AE support and intelligent design techniques all the challenges were resolved or worked-around and we had a successful and timely tapeout.
 
Author Tanvir Khan - BroadcomTarget Audience Intermediate
Hall A2
2:45 pm - 3:30 pm
Formality 2018.06 and 2019.03 Technology Update
Synopsys
Formality 2018.06 and 2019.03 Technology UpdateSession TypeSession Sub Type DescriptionThis tutorial will give an overview of the latest Formality updates including new alternate strategy technology, new clock gating verification technology, and new feedthrough verification capabilities. The session will also cover new usability features to help with debugging and setup. The intended audience are front-end/back-end engineers involved in running equivalency checking with Formality on their projects.Author Uday Dixit - SynopsysTarget Audience Intermediate, Advanced Hall A3
2:45 pm - 3:30 pm
Full-chip Simulation using a Selectively Instantiated Netlist with Array Model Integration
Nantero
Full-chip Simulation using a Selectively Instantiated Netlist with Array Model IntegrationSession TypeSession Sub Type Description
The increasing complexity of memory designs using high-speed signal interfaces makes functional verification difficult. These memory designs contain mixed-signal elements and many repetitive circuits. In order to reduce the simulation time and computing resources required, the netlist used for full-chip simulation is typically post-processed to decrease the number of top-level design instances of the repetitive circuits. Each of these instances are also connected to a number of memory core-cell models to enable end-to-end memory operations in simulation.
 
This paper presents a method that dynamically configures the SPICE netlist, incorporates a SystemVerilog memory core-array model into the device under test and integrates the memory model with a UVM environment. This method allows running different netlist configurations without post-processing the netlist, reduces the simulations overall memory requirement and contains the benefits of having a digital top-level testbench environment.
 
Author Brandon Low - NanteroTarget Audience Intermediate
212
2:45 pm - 3:30 pm
Low-Cost X-Tolerant LBIST Solution for Automotive IC
Synopsys
Low-Cost X-Tolerant LBIST Solution for Automotive ICSession TypeSession Sub Type DescriptionSafety and mission critical electronic systems are driving increasingly aggressive IC quality and reliability goals and exposing limitations in existing DFT methodologies. Automotive ICs, in particular, present unique testing challenges during manufacturing and all phases of in-system operation, including power-on and functional operation. Unknown simulation states, known as Xs, have traditionally corrupted Logic BIST (LBIST) operation, a commonly used automotive DFT technique, unless designers add costly hardware to their designs. However, these existing solutions may still be susceptible to less predictable, dynamic Xs that may result from timing issues or post-silicon operating conditions, such as varying temperatures or voltage. This presentation explores the usage of a new low-cost X-tolerant LBIST architecture, which shows a significant reduction in test pattern count and test application time, while minimizing area foot print. The integration of the X-tolerant LBIST architecture into an overall automotive DFT solution and the TestMAX-based RTL insertion and validation flow will also be discussed.Author Target Audience Intermediate, Advanced Mission City 1
2:45 pm - 3:30 pm
Modernizing Workloads on the Cloud
Synopsys
Modernizing Workloads on the CloudSession TypeSession Sub Type Description
Synopsys tools have been highly optimized on traditional HPC infrastructure for decades. The Cloud has components that are today similar to HPC. However, it also has differences that favor web scale applications. Synopsys is thus working to enable technologies within our tools that can harness the Cloud today. In this talk, we will discuss what Synopsys is doing to help customers through the cloud transition.
 
While our tools have been cloud-ready in the area of compute parallelization for almost a decade, we are enhancing the tools to support easy workload transfers and data parallel architecture. We describe the models of cloud usage, and how our tools can be used in these scenarios.
 
We will share our long-term roadmap for targeting cloud-native architectures - including containers, reduced tool footprint, scaling with elasticity and reduced dependence on traditional HPC components.
Author Ramki Balasubramanian, Jaimin Desai - SynopsysTarget Audience Intermediate
209/210
2:45 pm - 3:30 pm
Next Generation of Simultaneous Multi Voltage Analysis
Next Generation of Simultaneous Multi Voltage AnalysisSession TypeSession Sub Type Description
As designs and power domain specs constantly grow in size and complexity, the timing signoff process requires an increasing number of runs to model all voltage permutations across the various power rails. Different voltage levels need to be analyzed both within domains and across domains. When a product is required to function with best power/performance across a wide range of voltage operation points, we face an additional level of complexity in meeting different frequencies for different voltage settings.
 
Due to technical limitations of resources and tools capacity, multiple STA runs introduce a great challenge in timing convergence under a tight project timeline. This session presents a new SMVA (Simultaneous Multi Voltage Analysis) solution that is based on UPF definitions, and allows customized setting of pre-known limitations in order to get all the required scenarios data without redundancies, providing an accurate and optimized analysis for multi-voltage designs.
Author Target Audience Introductory, Intermediate
Great America J
2:45 pm - 3:30 pm
Reusable Verification IP for Control Path Stress Testing
Samsung
Reusable Verification IP for Control Path Stress TestingSession TypeSession Sub Type DescriptionThe complexity of modern day SOCs presents several challenges to Verification Engineers. With increasing size of state space and compressed project schedules, reaching deep corner cases quickly is becoming harder now than ever. In this paper, we present some ideas from one of our home-brewed reusable, design independent and configurable verification utility library. The library has several utility classes to specifically control the fields of packets driven into the design under test (DUT). Beyond that, this paper also describes a few utilities and techniques to stress DUT Interface delays. We uncovered many deep corner case bugs at a much faster rate using these techniques.Author Krishna Gudlavalleti, Venkat Raman, Abhinav Vangala, Rakesh Vummaneni - SamsungTarget Audience Ballroom H
2:45 pm - 3:30 pm
Rigorous Access Control Testing with Hardware Emulation
Start With WCPGW
Rigorous Access Control Testing with Hardware EmulationSession TypeSession Sub Type DescriptionThis session illustrates a practical and rigorous methodology to assess access control correctness within system-on-chip. As one of the pillars of security, access control plays an essential role in protecting information. In a system-on-chip, access restriction rules can be implemented in hardware, in software, or as a mix of hardware and software features. We will first look at the past exploits and common points of attack during the secure boot of a system. This will help understand the scope of testing and how to prepare to rigorously test access control from two point of views: a software attack vector and from a hardware vector. Finally, we will show how to define and implement a testing platform with hardware emulation to test and collect metrics on access control correctness within a system-on-chip. The result can be represented visually to create a risk assessment report.Author Jean-Philippe Martin - Start With WCPGWTarget Audience Ballroom G
2:45 pm - 3:30 pm
The Marriage of AI and Safety in Automotive SoCs
Synopsys
The Marriage of AI and Safety in Automotive SoCsSession TypeSession Sub Type DescriptionAs the automotive industry looks beyond Level 2 (Driver Assist) designs, the race is on to deliver high-performance safety-critical autonomous vehicle components powered by the latest AI technology. AI techniques can provide increased accuracy for object and pedestrian detection, but these designs must still meet the ISO 26262 standards most stringent level of functional safety and fault coverage. In this presentation, autonomous driving use-cases will be analyzed emphasizing the need for the inseparable union of AI and safety. From architecture through to tape-out, this session will provide an overview of the design, verification, and safety methodologies required for SoC safety certification. We will discuss how Synopsys achieves this marriage without significant impact on performance, power, or area compared to non-ASIL Ready processors.Author Fergus Casey - SynopsysTarget Audience Advanced, Expert 203/204
3:45 pm - 4:15 pm
Critical Path Timing Optimization and Feedback Method in Design Planning using Preroutes, Repeaters and User Tables
Critical Path Timing Optimization and Feedback Method in Design Planning using Preroutes, Repeaters and User TablesSession TypeSession Sub Type DescriptionIn high performance and low latency SoC designs, it is extremely important we cut down the timing closure iteration time and effectively optimize the critical paths in early stages of physical design implementation to reduce the turnaround time and the design cost. This requires us to know the critical timing data as early as the design planning stage and apply the timing critical data to optimize the timing critical paths in the floorplan. In addition, we need to iterate this process as fast as we can. In this paper, we present a critical path optimization and timing feedback method in design planning to enable faster critical path timing optimization and closure. This includes critical path preroutes and repeater methodology in design planning, building the timing model in design planning, analyzing the critical timing paths using UserTables in design planning and applying the timing data to optimize the critical path preroutes and repeaters.Author Target Audience Intermediate Hall A2
3:45 pm - 4:15 pm
Improve DFT Implementation with SpyGlass DFT ADV in RTL Sign-off
Broadcom
Improve DFT Implementation with SpyGlass DFT ADV in RTL Sign-offSession TypeSession Sub Type DescriptionDFT implementation sometimes can be a bottle neck to keep a tight chip developing schedule. Test infrastructure implementation often starts late in a design cycle and requires a long turn-around time if any issue or shortcoming is caught after synthesis and ATPG or even via fault simulation that the test coverage is inadequate. A DRC violation clean RTL hand-off not only guarantees a quick and robust DFT implementation but also helps test coverage increase. An early test coverage estimation gives designer idea of potential improvements in design to accommodate test strategy implementation and suggestion on test point insertion in implementation stages. This paper presents how using SpyGlass DFT ADV in Broadcoms design flow to achieve a good RTL hand-off that reduce post synthesis ECOs for test strategy implementation and increase test coverage. It also describes how using SpyGlass DFT ADV to take advantage of testpoint analysis conducted on RTL design to save number of iterations.Author Ruoyu Liu - BroadcomTarget Audience Introductory Mission City 1
3:45 pm - 4:30 pm
Achieving Predictable Functional and Performance Verification Closure of Complex Interconnect Subsystems
Synopsys
Achieving Predictable Functional and Performance Verification Closure of Complex Interconnect SubsystemsSession TypeSession Sub Type DescriptionIn this session, we will go over the challenges associated with achieving predictable functional and performance verification closure of complex interconnect subsystems. It will cover how the Synopsys VC VIP for AMBA can be used in conjunction with testbench automation and compliance tests to provide complete stimulus, protocol checks, functional coverage when used in conjunction with Verdi Planner allow you to measure and predict verification closure. In addition, it will extend beyond functional verification to show how to generate stimulus ; metric analysis to ensure that SoC interconnect performance requirements are met.Author Bernie DeLay - SynopsysTarget Audience Intermediate Ballroom H
3:45 pm - 4:30 pm
Easier and Faster NanoTime Configuration for Timing Analysis of SRAMs and Other Macros
Synopsys
Easier and Faster NanoTime Configuration for Timing Analysis of SRAMs and Other MacrosSession TypeSession Sub Type DescriptionNanoTime, a transistor-level static timing analysis tool, provides fast, accurate, and vector-less custom block-level timing verification and timing model generation. This presentation outlines new features that facilitate setup and improve runtime , particularly for SRAM memories. It showcases the skip array and mixed-mode methodologies which provide 2-4x performance improvement. Other productivity boosters are described, such as tips for ensuring correct clock propagation, re-use of data across runs, and optimization of NanoTime reporting.Author Ketan Zaveri - SynopsysTarget Audience Intermediate Great America K
3:45 pm - 4:30 pm
Electromigration Analysis Flow using Synopsys CustomSim Reliability Analysis for GlobalFoundries’ 22FDX Technology
GLOBALFOUNDRIES; Synopsys
Electromigration Analysis Flow using Synopsys CustomSim Reliability Analysis for GlobalFoundries’ 22FDX TechnologySession TypeSession Sub Type DescriptionThe high reliability requirements of todays microelectronics designs are making design closure very difficult to achieve. Designers are requesting relief from increasingly stringent electromigration limits of on-chip interconnect. This paper presents the different enhancements to the electromigration rules for the latest GlobalFoundries 22FDX process. We also describe how these rules are implemented and the electromigration analysis flow using the Synopsys CustomSim Reliability Analysis simulator.Author Cole Zemke, Amit Kumar, Alan Stigliani - GLOBALFOUNDRIES; Beatrice Solignac - SynopsysTarget Audience Intermediate 212
3:45 pm - 4:30 pm
Machine Learning Accelerated ECO and Latest Advances with PrimeTime-ADVPlus
Synopsys
Machine Learning Accelerated ECO and Latest Advances with PrimeTime-ADVPlusSession TypeSession Sub Type DescriptionThis tutorial will showcase PrimeTimes machine learning ECO technology and how customers are using it to reduce their power recovery TATs. We will also review the latest advances in ECO available in PrimeTime ADVPlus providing higher fix rates and convergence at 5nm and below along with a look at the multi-scenario physical GUI. This tutorial will benefit both current and upcoming PrimeTime ECO users.Author Troy Epperly - SynopsysTarget Audience Intermediate Great America J
3:45 pm - 4:30 pm
Using Fusion Compiler to Improve QoR/Runtime
Qualcomm
Using Fusion Compiler to Improve QoR/RuntimeSession TypeSession Sub Type Description
Designers of today’s high performance, big multi-million gate designs struggle to achieve the best possible power, performance and area requirements on their designs. One of the major challenges they run into, is the turnaround time to achieve the required Quality of Results (QoR) goals which severely limits their ability to meet tight schedules and timelines. A hierarchical design approach reduces the tool runtime but can increase the overall turnaround time. It increases the number of partitions, which may negatively affect the overall PPA of the design. A flat approach on the other hand may significantly increase the tool runtime thereby making implementation much more time consuming.
 
This paper discusses a new solution to this problem using Fusion Compiler's novel synthesis technology which reduces the total turnaround time while at the same time improving the performance per watt on mega multi-million gate designs. Some of the new capabilities inside Fusion Compiler gave us the opportunity to improve our implementation runtime by as much as 2X, achieve better convergence, and make use of several new technologies interchangeably between synthesis and physical design space. This gave significant boost to the overall power, performance and area.
 
In this paper we will present some of the new flows and capabilities that we implemented. We will also discuss the different challenges faced while implementing these new flows including timing closure, congestion, power and area optimization
Author Vishal Jain, Sachin Singh - QualcommTarget Audience Intermediate
Hall A3
3:45 pm - 4:30 pm
Using Machine Learning for Characterization of NoC Components
ArterisIP
Using Machine Learning for Characterization of NoC ComponentsSession TypeSession Sub Type Description
Modern NoC (Network-on-Chip) is built of complex functional blocks, such as packet switches and protocol converters. PPA (performance/power/area) estimates for these components are highly desirable during early design phases long before NoC gate level netlist is synthesized. At this stage, a NoC component is a soft module, described by a set of architectural parameters, like the bit width of ingress and egress ports, number of virtual channels, etc.
 
The proposed approach attempts to predict the PPA behavior of NoC components based on machine learning non-linear regression algorithms. The system consists of several layers. At the bottom, Synopsys Design Compiler is used to synthesize a NoC component with one combination of input parameters (features) and capture its characteristics. This result becomes a data point in a training set. When it gets sufficiently large, this set is being used for training fast models predicting PPA for components with parameter values not exercised during the training. These models can be plugged into a NoC design tool assisting the user with feasibility and what-if analysis.
 
Author Benny Winefeld - ArterisIPTarget Audience Intermediate
Mission City 2
3:45 pm - 4:30 pm
Virtual Hardware ECUs: A Positive Disruption for Automotive Software Development
Synopsys
Virtual Hardware ECUs: A Positive Disruption for Automotive Software DevelopmentSession TypeSession Sub Type DescriptionAutomotive systems require years of development before making it into a vehicle. With advanced driver assistance systems, infotainment, safety systems and autonomous driving requiring increasing compute power and software content, automotive companies need to revisit their approach to software development and test. Disrupting the current development process is necessary to leverage the latest computing platform. Start early by removing the dependency on hardware availability, and scaling the development environment for better and more efficient software integration and test. This tutorial will discuss how a simulation of Electronic Control Unit, known as a virtual hardware ECU, can be used to meet these objectives.Author Charu Khosla - SynopsysTarget Audience Introductory, Intermediate, Advanced, Expert 203/204
3:45 pm - 5:15 pm
Billion-Cycle Power Estimation using Fast Emulation
Synopsys
Billion-Cycle Power Estimation using Fast EmulationSession TypeSession Sub Type DescriptionIn this tutorial we will showcase how ZeBu is used to identify windows of interest for billion cycle software workloads and perform software power optimization. We explain how to calculate average and approximate peak power for hundreds of millions of cycles. During this step we can identify interesting windows of a few thousand cycles for final signoff power using PrimePower. Finally we will show how to use ZeBu SoC RTL data together with IP gate-level power analysis to achieve accuracy and reduce handoff iterations between emulation and implementation teams.Author Alexander Wakefield - SynopsysTarget Audience Intermediate Ballroom G
3:45 pm - 5:15 pm
Women in Semiconductors: Designing Your Future
Intel, NVIDIA, SambaNova Systems, Synopsys
Women in Semiconductors: Designing Your FutureSession TypeSession Sub Type Description
Synopsys is proud to host its first "Women in Semiconductors" forum at SNUG Silicon Valley. Diane Bryant, a dynamic leader with deep Silicon Valley roots, will kick off this session with a keynote discussing what it takes to thrive in a career and the power of diversity in the work place. Diane’s background includes being the former COO of Google Cloud and leading Intel’s Data Center Group. The keynote will be followed by a panel discussion with successful female leaders in the semiconductor industry. This is a great opportunity to celebrate and acknowledge women who are pursuing careers in semiconductors, and to build connections and a sense of community. Our goal is to inspire, encourage and empower women in the semiconductor industry. 
 
This session will conclude with cocktails and networking, before we invite you to join us at SNUG Pub.
Author Mulan Li - NVIDIA; Penny Li - SambaNova Systems; Sundari Mitra - Intel; Latha Venkatachari - SynopsysTarget Audience All
Mission City Ballroom
4:15 pm - 4:45 pm
Hierarchical Design Planning Challenges for Large Complex Sub-Chips
NVIDIA
Hierarchical Design Planning Challenges for Large Complex Sub-Chips Session Type Session Sub Type Description IC Compiler II Design Planning is used for macro placement, feedthrough insertion, and pin placement for large complex SUB-CHIPS. SUB-CHIPS contain multiple abutted blocks ranging from 10 to 50 blocks. Total size of the SUB-CHIPS could be in the range of 10 Million to 60 Million instances. This flow uses distributed processing for running blocks in parallel for the sub-steps in design planning, macro placement, standard cell placement, and feedthrough buffer insertion run at block level using run_block_script command. run_block_script runs same set of commands on all partitions simultaneously and integrates the chiplet level block after all sub-block runs are completed. Author Ramesh Murugesan - NVIDIA Target Audience Intermediate Hall A2
4:15 pm - 4:45 pm
Lightweight LBIST Implementation Methodology for Small Cores
Renesas; Synopsys
Lightweight LBIST Implementation Methodology for Small CoresSession TypeSession Sub Type Description
Demand for ICs which can be used in higher ASIL rating systems are rapidly increasing in conjunction with the increased demand in semi-autonomous and autonomous driving systems. LBIST is a very effective tool in catching random hardware failures in such ICs and can be used to increase latent point failure metric (LPFm) to achieve higher ASIL ratings.
 
In this paper, we will be discussing the LBIST implementation methodology being adopted for a power management integrated circuit (PMIC), which has been designed according to ISO26262 standard and will be used as part of camera module in automotive applications. In this design, higher than 80% LBIST coverage and less than 10mS LBIST runtime is required . It is required to have separated LBIST for 2 individual blocks (150 andamp; 8k registers each) which has independent scan chain controlled by one master module. The paper discuss:
  • Challenges faced during lightweight lbist implementation for the small cores and how they were addressed
  • Test points were used instead of core-wrapping for the lightweight lbist solution 
  • SpyGlass Test-point insertion to optimize the pattern count and coverage 
  • LBIST simulation techniques to expedite simulation debugging 
The paper concludes with the achieved LBIST coverage and pattern count with the above implementation.
Author Ingoo Jung, Fidel Bayam - Renesas; Prasad Kurup - SynopsysTarget Audience Intermediate
Mission City 1
4:30 pm - 5:15 pm
An Emulation Based Fault Injection Platform for Functional Safety Verification
An Emulation Based Fault Injection Platform for Functional Safety VerificationSession TypeSession Sub Type Description
Fault Injection, relegated to a niche for many decades, has suddenly become once again a mainstream activity because of the requirements of functional safety. There are situations where the validation of safety metrics for a certain safety architecture (e.g. a core protected by a software test) requires an extensive injection of faults and their grading to determine whether they are safe or dangerous and whether they are detected or not by the safety measures. Of course the simulation of complex applications (e.g. the boot of a device) with large fault lists may still be unfeasible, despite the amount of hardware dedicated to it. This is where exploiting emulation platforms may help beating the complexity barrier. The pre-silicon emulation of functionalities not easily simulated is a popular practice. Exploiting the already existing emulation environment for these functions and adding a fault injection layer to it makes it possible to perform fault injection campaigns that would otherwise be unfeasible.
 
In this paper we explore the experience and the methodology we built, comparing results with respect to the more traditional simulation-based approach.
 
Author Target Audience
203/204
4:30 pm - 5:15 pm
Automating Verdi-based Simulation Debug using Perl/Python
NVIDIA
Automating Verdi-based Simulation Debug using Perl/PythonSession TypeSession Sub Type DescriptionDebug loops are some of the most time consuming tasks faced during design verification, and speeding up debug is essential to meeting schedule. Verdi provides a Tcl programming interface which can be harnessed to improve debug productivity by automating frequently used tasks. However, in many teams, expertise in Tcl isn't as ubiquitous as that in scripting languages like Perl or Python. As such, programming for Verdi ends up being confined to a few engineers in a team. This paper describes techniques on how to use other languages for Verdi programming, thus making it more accessible. With simulation debug as a use case, it starts with demonstrating how external applications can interact with Verdi using Tcl/Tk. Finally, it lays out a Perl API to simplify writing interactive Perl applications for Verdi.Author Omar Azzam, Gaurav Vaidya - NVIDIATarget Audience Intermediate Ballroom H
4:30 pm - 5:15 pm
Building Machine Learning-enabled Chip Design Flows
Synopsys
Building Machine Learning-enabled Chip Design FlowsSession TypeSession Sub Type Description"AI is the new electricity" in Andrew Ng's words - are you sure you are ready for it? AI and machine learning (ML) are changing all industries around us. The chip design flow is extremely complex and can benefit greatly from introduction of ML techniques. ML techniques can help with flow optimization, reduce the turnaround time of your regressions or provide you with actionable insights about your flow. Attend this tutorial to get an overview of ML applied to chip design flow, and understand what solutions Synopsys has to boost your efforts to modernize your existing flows by using ML techniques.Author Smarahara Misra, Sashi Obilsetty - SynopsysTarget Audience All Mission City 2
4:30 pm - 5:15 pm
Crosstalk Analysis on Custom Ciruits using Advanced CustomSim Circuit Check
Micron Technology
Crosstalk Analysis on Custom Ciruits using Advanced CustomSim Circuit CheckSession TypeSession Sub Type Description
CustomSim Circuit Check (CCK) delivers quick, and vast static, as well as, dynamic analysis that uncover design weaknesses to ensure a designs robustness and reliability. CCK offers circuit checks including device parametric checks, ERCs, timing checks, and signal integrity checks that help users uncover performance and functional issues at early stages in design without the need to use expensive simulations.
 
As the technology advances, circuit geometries become smaller, hence, wire interconnects become closer to each other which causes an increase of cross-coupling capacitance effects. In this paper, we cover the use of a recent CCK feature that handles crosstalk in custom design by gauging the effect of coupling capacitance on circuits functionally. The command checks for value and width of glitches are induced by the switching signals of the attacking neighbors, and reported on all nets with coupling capacitors. This helps isolate risky path or nets, and allows the design to perform more verification and optimization to ensure proper functionality.
 
Author Raed Sabbah, David Kao - Micron TechnologyTarget Audience Advanced
212
4:30 pm - 5:15 pm
QoR Analysis Using Aging-enabled Liberty Variation Format (LVF) Design Flow for Automotive and IoT High Reliability Applications
GLOBALFOUNDRIES
QoR Analysis Using Aging-enabled Liberty Variation Format (LVF) Design Flow for Automotive and IoT High Reliability ApplicationsSession TypeSession Sub Type DescriptionThis paper demonstrates improved design Quality of Results (QoR) with aging enabled Liberty Variation Format (LVF) libraries for automotive and IoT high reliability applications using GLOBALFOUNDRIES 22FDX® technology. Conventional timing closure guard banding for aging results in conservative margins and pessimistic PPA. We implemented a methodology where reliability simulators are used in tandem with SiliconSmart (SiS) to create aged LVF libraries. Design implementation and QoR are compared between conventional aging margins versus aged LVF libraries.Author Siddharth Sawant, Balaji Vaidyanathan, Kasim Mahmood, Ramya Srinivasan, Ulrich Hensel - GLOBALFOUNDRIESTarget Audience Great America K
4:45 pm - 5:15 pm
Power Optimization Techniques In Advanced Nodes for Networking ASICs
Juniper Networks
Power Optimization Techniques In Advanced Nodes for Networking ASICsSession TypeSession Sub Type DescriptionPower dissipation has become an increasingly greater concern in networking ASICs. As we barrel down into advanced nodes (7nm and below), a push to enable wide range of power optimization techniques in place and route flow has become the need of the hour. This paper outlines low power placement, fusion-technology based DC restructuring, flop alignment, multibit flop mapping and total power optimization techniques in the placement stage. Low power multi source clock tree synthesis, clock buffer/inverter cell selection, and common clock and data path optimization techniques to bring in more power savings during clock tree synthesis. We round up with total power optimization flow in route, along with in-design power recovery using Prime Time. We briefly describe the power corner selection process in place and route that could better correlate to signoff. Finally, we review RTL vector-based power optimization process in place and route. All of these techniques combined have helped reduce the power envelope for our large networking ASICs.Author Vivek Pagadala, Naman Sharma, Sirisha Jayanti, Narayan Subramanian – Juniper NetworksTarget Audience Intermediate Hall A2
4:45 pm - 5:15 pm
Test and Repair for SoC Memories and Hierarchical Test for AMS & PHY IP
Synopsys
Test and Repair for SoC Memories and Hierarchical Test for AMS & PHY IPSession TypeSession Sub Type DescriptionMemory Test and Repair @ 7nm and smaller technologies present new and unique challenges to SoC and DFT designers. With growing process variation and complexity, SoC designers need to overcome new memory fault types (specific to FinFET) to offer high test coverage while satisfying performance and reliability needs specific to new applications like Artificial Intelligence, Machine Learning and Automotive. This tutorial will introduce the next generation of STAR Memory System (SMS), Synopsys’ memory test and repair solution including details of the recently announced support for embedded MRAM (eMRAM) technology. The speaker will also discuss the DesignWare STAR Hierarchical System (SHS), a hierarchical test and diagnostics solution for all analog/mixed signal IP/cores on your SoC. The tutorial will cover test, repair, diagnostics as well as in-field self-test capabilities with examples of successful customer case studies.Author Yervant Zorian - SynopsysTarget Audience Intermediate, Advanced Mission City 1
5:15 pm - 7:00 pm
SNUG Pub
SNUG PubSession TypeSession Sub Type DescriptionAfter Wednesday’s sessions, stop by SNUG Pub for the opportunity to network with our sponsors, fellow attendees, and Synopsys R&D.Author Target Audience Hall B
 
March 21, 2019
8:00 am - 5:15 pm
Registration
RegistrationSession TypeSession Sub Type DescriptionRegistration opens at 8:00 am and will stay open throughout the day.Author Target Audience Santa Clara Convention Center
9:15 am - 10:15 am
Keynote: Applications of Unsupervised Learning
NVIDIA
Keynote: Applications of Unsupervised LearningSession TypeSession Sub Type DescriptionUnsupervised learning lets us learn sophisticated models of large datasets without needing expensive annotations. In this talk, I'll discuss several unsupervised learning applications from our recent work. I’ll first discuss video translation, which renders new scenes using models learned from real-world videos. We take real world videos, analyze them using existing computer vision techniques such as pose estimation or semantic segmentation, and then train generative models to invert these poses or segmentations back to videos. In deployment, we then render novel sketches using these models. I’ll then discuss work on large-scale language modeling, where a model trained to predict text, piece by piece, on a large dataset is then finetuned with small amounts of labeled data to solve problems like emotion classification. Finally, I’ll discuss WaveGlow, our flow-based generative model for the vocoder stage of speech synthesis, that combines a simple log-likelihood based training procedure with very fast and efficient inference. Because unsupervised learning allows us to try tackling problems where labels would be prohibitively expensive to create, it opens the scope of problems to which we can apply machine learning.Author Bryan Catanzaro - NVIDIATarget Audience All Mission City Ballroom
10:45 am - 11:15 am
Drive Faster Signoff Closure and Eliminate ECO Iterations with ECO Fusion
Synopsys
Drive Faster Signoff Closure and Eliminate ECO Iterations with ECO FusionSession TypeSession Sub Type DescriptionThis tutorial will provide details on the ECO Fusion technology that automates the PrimeTime ECO design closure flow from within IC Compiler II environment. It will provide in-depth coverage of how users can easily access PrimeTime inside IC Compiler II for not only golden signoff-correlated analysis, but also for applying ECO optimization without leaving the implementation flow.Author Farokh Yazdani - SynopsysTarget Audience Intermediate Hall A2
10:45 am - 11:30 am
A Gentle Introduction to Formal Verification
Palo Alto Networks
A Gentle Introduction to Formal VerificationSession TypeSession Sub Type DescriptionFor engineers with a design or functional simulation background, getting started with formal verification can be intimidating. This can happen due to a few common reasons, depending on how much exposure you've had to Formal, such as: unfamiliarity with writing assertions; Formal can seem like a difficult skill to learn, since it requires different techniques and mindset when compared to functional simulation; and a fear of signing off with Formal. The intention of this paper is to address these points and convince the reader that if you're a Designer or a DV engineer, Formal Verification is your new best friend.Author Subramani Ganesh, Savitha Raghunath - Palo Alto NetworksTarget Audience Introductory Ballroom G
10:45 am - 11:30 am
A Novel Methodology for Comprehensive Glitch Detection
Samsung; Synopsys
A Novel Methodology for Comprehensive Glitch DetectionSession TypeSession Sub Type DescriptionThis paper presents a glitch check methodology combined with interesting findings and recommended optimizations for run-time and accuracy, based on the successful deployment of the glitch check methodology at SARC/Samsung powering the high performance/power efficient Exynos cores for Samsung’s Galaxy flagship phones. The methodology uses a two-step checking scheme (RTL and Netlist) to make sure no glitch passes through the flow.Author Mohd Imran Beg, Niketh Mankalale, Vamshi Kadiyala - Samsung; Rahul Chirania - SynopsysTarget Audience Intermediate Ballroom H
10:45 am - 11:30 am
Accelerating Debug of Assertions by Leveraging Synopsys HAPS Prototyping with Verdi
NVIDIA; Synopsys
Accelerating Debug of Assertions by Leveraging Synopsys HAPS Prototyping with VerdiSession TypeSession Sub Type Description
Driven by increasing hardware and software complexity, designers continue to accelerate their System On Chip (Soc) design cycles. To keep up with the accelerated design cycles, it is essential that design verification remains productive by using the right set of tools. This paper explains how NVIDIA set up a methodology for efficiently debugging SystemVerilog assertions by leveraging Synopsys' High Performance Prototyping Solution (HAPS) and Verdi debug platforms together on our latest RTL designs.
 
In this paper, we will cover the following topics:
  1. An introduction to the paper, briefly describing the different types of assertions that are present in NVIDIA Design Under Test (DUT); followed by the motivation to implement this assertion debug methodology on an FPGA prototyping system. 
  2. The steps and design changes made to our RTL to set up the assertion debug methodology. 
  3. The different flows in Synopsys' HAPS prototyping to extract debug information from the tests running on FPGA. 
  4. Various scripts and features in Verdi that can be used for assertion evaluation. 
  5. The future scope as well as tool limitations; leading to the conclusion of our discussion.
Author Marek Sulocha, Sriram Manjunath - NVIDIA; Aswin Vijaya Varma - SynopsysTarget Audience Advanced
Mission City 1
10:45 am - 11:30 am
Boost of Mismatch Simulations in HSPICE using Mirror Options
GLOBALFOUNDRIES
Boost of Mismatch Simulations in HSPICE using Mirror OptionsSession TypeSession Sub Type DescriptionIn advanced semiconductor process, having design margin to cover process variability is essential. Most of the foundry Spice models nowadays contain statistical models mimicing process variations, including global and local variations. Global variations are in sync between same type of devices while local variations are independent between same type of devices. Variations of many critical parameters, such as on or linear currents (Ion, Idlin), threshold voltage (Vt), drain induced barrier lowering (DIBL), transconductance (Gm) and output conductance (Gds), etc, are modeled or at least monitored during statistical modeling. Model users can calibrate statistical results to process variation by running Monte Carlo (MC) simulations. However, getting correct MC results in HSPICE can be tricky for some parameters. When multiple devices are involved to derive one parameter, one can easily setup netlist incorrectly or redundantly. This paper will introduce an elegant solution to this: by just grouping the device/subcircuit instance names together in the HSPICE option “mirror _components” from variation block, one can simulate DIBL, gm/gds, Ideff and other derivative parameters with a concise netlist setup.Author Jie Min, Zhi-Yuan (Joanne) Wu, Ali Shahi, Takashi Shimizu - GLOBALFOUNDRIESTarget Audience Intermediate 212
10:45 am - 11:30 am
Building Secure Media Processors for Connected Homes using OTP NVM
Synaptics
Building Secure Media Processors for Connected Homes using OTP NVMSession TypeSession Sub Type DescriptionThe connected home market requires high-performance, power-efficient processors to enable rich multimedia, seamless connectivity, and customized experiences on entertainment devices. A robust security engine is integral to such a system to ensure secure boot, video watermarking, and digital rights management (DRM) of premium content for TVs, set-top boxes, streaming, and gaming applications. Delivering immersive entertainment regardless of source requires secure storage of encryption keys, configuration, and version control information. In this presentation, Synaptics will describe their use of DesignWare OTP NVM to develop single-chip media processors with secure DRM for the connected home entertainment market.Author Jingliang Li - SynapticsTarget Audience Introductory 209/210
10:45 am - 11:30 am
Moving AI to the Edge with Synopsys ASIP Designer
Synopsys
Moving AI to the Edge with Synopsys ASIP DesignerSession TypeSession Sub Type DescriptionThe explosive growth in artificial intelligence applications is transforming everything we know about silicon and software development. Highly specialized processors, or AI accelerators, are emerging to manage the massive and changing compute intensities of AI applications, driving new connectivity, energy-efficiency, mobility, and security challenges to the forefront of chip design. In this session, we will talk about Synopsys ASIP Designer for designing programmable accelerators for AI on the edge.Author Drew Taussig - SynopsysTarget Audience Introductory, Intermediate, Advanced Mission City 2
10:45 am - 11:30 am
SoC Early Power Estimation Challenges and Accuracy: How To Get It Done Right
Broadcom; Synopsys
SoC Early Power Estimation Challenges and Accuracy: How To Get It Done RightSession TypeSession Sub Type Description
Early, accurate power estimation for SoC designs has long been a goal of ASIC and system designers. To address this need, EDA vendors have developed tools for RTL power estimation, in-synthesis power analysis, and emulation power profiling. These tools attempt to compensate for the lack of comprehensive design information using methods such as synthesis estimates, average-power power analysis engines, and activity extrapolation or propagation heuristics using non-simulation activity estimates, or zero-delay RTL activity.
 
To avoid the accuracy concerns inherent in RTL power analysis methods, we developed a flow using PowerReplay, PrimeTime PX/PrimePower, and Design Compiler. This flow uses the actual gate-level design netlist, accurate gate-level zero-delay simulations, and quality, sign-off power analysis engines. This flow provides more accurate power analysis results at a much earlier point in the design flow. With this flow, engineers have more confidence in the power numbers that they use for comparing architecture choices, reviewing improvements made to RTL coding, and providing power consumption values to system-level architects and back-end designers. This session will present the flow we developed, important flow enhancements we added as well as several best-practices.
Author Sourabh Vaid - Broadcom; John Geremia, Kent Yang - SynopsysTarget Audience Introductory, Intermediate
Great America J
10:45 am - 12:15 pm
Design Compiler® NXT and Power Compiler - Tutorial Covering Latest Release Updates
Synopsys
Design Compiler® NXT and Power Compiler - Tutorial Covering Latest Release UpdatesSession TypeSession Sub Type DescriptionThis tutorial presents the latest advancements in the Design Compiler family of products including Design Compiler NXT and Power Compiler to help you achieve best-in-class quality-of-results while reducing design schedules. The tutorial will cover enhancements that include, faster runtime, improved multibit support, and tighter correlation with IC Compiler II to drive superior QoR. The tutorial will highlight the new capabilities of Design Compiler NXT to support advanced process geometries, the latest Power Compiler features and enhancements including UPF, clock gating and leakage optimization. In addition, new reference flow methodologies tailored to achieve lower power, higher performance, and faster runtime will be presented.Author Abhijeet Chakraborty, Bob Wiegand - SynopsysTarget Audience Introductory, Intermediate, Advanced Hall A3
11:15 am - 11:45 am
Accelerating EMIR Closure with RedHawk Analysis Fusion
Synopsys
Accelerating EMIR Closure with RedHawk Analysis FusionSession TypeSession Sub Type Description
Ansys RedHawk is an industry standard power noise and reliability sign-off solution for SoC designs. IC Compiler II is enhanced to perform analysis and fixing using Ansys RedHawk. The tutorial describes an overview of IC Compiler II /RedHawk analysis fusion and the various types of analysis, including static, dynamic, EM and resistance analysis. The tutorial further describes the fixing and optimization techniques, including missing via detection/fixing, PG augmentation and IR aware placement.
 
The target audience is users performing physical design implementation and reliability analysis, including IR drop and EM analysis.
Author Krishnaraj Rajan - SynopsysTarget Audience All
Hall A2
11:30 am - 12:15 pm
Enabling 400G Hyperscale Data Centers with 56G Ethernet PHY IP
Synopsys
Enabling 400G Hyperscale Data Centers with 56G Ethernet PHY IPSession TypeSession Sub Type Description
The growth of data traffic for achieving performance-intensive tasks is driving the need for new data center architectures, Ethernet PHY IP and interconnects. Hyperscale data centers are shifting to faster, flatter, and more scalable network architectures. High-speed Ethernet solutions are transitioning to the PAM-4 modulation scheme, allowing high bandwidth and long reaches. 400G Ethernet interconnects are based on length requirements, density, form factor, and power consumption.
 
This presentation details the new modulation schemes and Ethernet PHY IP architecture that can help you meet your design requirements for 400G+ hyperscale data center SoCs.
Author Rita Horner - SynopsysTarget Audience Introductory, Intermediate
209/210
11:30 am - 12:15 pm
Formal Regressions - Resource Hungry Waste or High Value Results?
Synopsys
Formal Regressions - Resource Hungry Waste or High Value Results?Session TypeSession Sub Type DescriptionRegression runs have been part of simulation methodology for decades and are considered very normal practice. In contrast to this, formal verification is often run interactively and sits as a separate task outside of the regression framework. The reasons for this can be many and varied - formal verification development is often an iterative process, individual assertions may be run, counterexamples cleared, constraints modified etc. However, there is still significant value in the running of nightly formal regressions. In this tutorial, we will describe the concept of formal regressions, why they make sense, how they can be applied to a formal environment, and how they are being used today. We will share case study examples of some Formal regression environments, and most important, we will also highlight how these regressions can be run with the minimal possible impact to resources while yielding the greatest value.Author Iain Singleton - SynopsysTarget Audience Intermediate, Introductory Ballroom G
11:30 am - 12:15 pm
FPGA Prototyping for Consumer and Enterprise SSD Devices
SK Hynix
FPGA Prototyping for Consumer and Enterprise SSD DevicesSession TypeSession Sub Type DescriptionConsumer and storage devices have always required very high performance FPGA prototypes to validate real-world interfaces and to optimize the workload of FW and SW developers using the FPGA prototypes. The current generation of SSD designs have grown significantly from previous generations. Designs can no longer be prototypes on 1 or 2 FPGAs 4 and 8 FPGA designs are common. SW and FW developers are demanding full-chip prototypes, and are no longer willing to just live with sub-system prototypes. In addition, SW and FW developers are asking for more debug techniques on the FPGA platform, since they need to debug at-speed. This has put a premium on large, high-performance, multi-fpga prototyping solutions with powerful built-in debug techniques and the ability to handle real-world interfaces such as PCIe, SATA, etc at-speed. In this presentation, SK Hynix presents the key prototyping requirements for modern SSD designs, how they are deploying FPGA prototyping today, and what type of improvements they would like to see in the future in FPGA prototyping solutions.Author ChunHok Ho - SK HynixTarget Audience Intermediate, Advanced, Expert Mission City 1
11:30 am - 12:15 pm
Performance Optimization of Transient Noise Analysis with FineSim SPICE
Samsung
Performance Optimization of Transient Noise Analysis with FineSim SPICESession TypeSession Sub Type DescriptionThe increasing complexity of advanced node analog circuits, high clock frequencies and low supply voltages cause smaller signal-to-noise ratios. Consequently, transient (time-domain) simulation of analog circuits has to take noise into account, resulting in very long simulation time. This presentation will describe the optimized performance of FineSim SPICE Transient Noise Analysis(TNA) for analog IPs, such as A-D/D-A converter and analog front-end (AFE), as well as its results vs. silicon data. FineSim SPICE TNA has been adopted for random noise analysis of CDS (Correlated Double Sampling) in CMOS Image Sensor.Author Youngjae Park, YongKwan Kim - SamsungTarget Audience Advanced 212
11:30 am - 12:15 pm
PTPX to PrimePower - Power User's Journey
Microsoft
PTPX to PrimePower - Power User's JourneySession TypeSession Sub Type DescriptionRecently, Synopsys introduced PrimePower with more features and capabilities than the power analysis signoff tool, PTPX.The new features such as delay shifted power analysis are supposed to increase accuracy of power analysis. We compared PTPX and PrimePower analysis across few of our high performance computing based designs to validate these features. In this paper, we share our experiences with PrimePower and offer some guidance for power designers who are switching to this tool for increased accuracy.Author Anand Iyer, Sarvesh Ganesan - MicrosoftTarget Audience Intermediate Great America J
11:30 am - 12:15 pm
STA Compatible Netlist Level Clock Domain Crossing Validation
NVIDIA; Synopsys
STA Compatible Netlist Level Clock Domain Crossing ValidationSession TypeSession Sub Type DescriptionClock domain crossing (CDC) verification is an integral part of modern chip design process and has traditionally been done at the RTL level, where all potential synchronization, glitch, and coherency issues are checked and resolved. However, increasing complexity of backend flows in current SoC designs results in significant logic optimization at the netlist level making clock domain validation a must for accurate signoff at the netlist level for first pass chip success. Modern backend flows can involve significant structural changes via advanced techniques like register merging/demerging, retiming, ECOs(Engineering Change Order) insertion, manual datapath logic instrumented at netlist level, Design for test (DFT) logic insertion, which accounts for a significant design percentage of which the RTL CDC signoff flow no longer can be relied upon. The methodology in this paper will explain the CDC flow at the netlist level complementing static timing analysis (STA) flow, ensuring all asynchronous paths, which do not get timed are validated. The flow has the same signoff quality with exactly the same inputs and behavior as the STA tool. This is ensured by reusing the same setup as the STA tool, offsetting the risk of errors which can be introduced when flows are modified due to incompatibility with multiple tools. Furthermore, a pre-requisite for netlist CDC methodology is complete clock propagation match with the STA tool ensuring accurate clock domain assignment to every sequential element.Author Pratik Suthar, Ulhas Kotha - NVIDIA; Rohan Sawant, Tanveer Singh - SynopsysTarget Audience Advanced Ballroom H
11:30 am - 12:15 pm
Verdi Machine Learning Case Study: Regression Failure Root Cause Automation
Synopsys
Verdi Machine Learning Case Study: Regression Failure Root Cause AutomationSession TypeSession Sub Type Description
Design Verification (DV) engineers are often intrigued by how Machine Learning (ML) can help with their daily tasks, but may not know how to get started.
 
The first half of this presentation walks through a brief background on ML and, in particular, how ML can be applied in the DV field. In this section we introduce Verdi technologies that powered our experiments, Verdi Learn and Verdi Automatic Root Cause Analysis (Auto RCA). We share our experience on how best to use these Verdi technologies. The second half of the presentation reflects on Broadcoms experience and results using these technologies.
 
This presentation will shed light on the process of deploying an ML engine in an actual problem solving capacity. The goal of this presentation is to create a better understanding of how ML can be used in the DV field, and to inspire other creative ways of applying Verdi Learn and Verdi Auto RCA on the wide variety of problems and tasks to be tackled.
Author Kent Yang - SynopsysTarget Audience Introductory
Mission City 2
11:45 am - 12:15 pm
IC Compiler II-RedHawk-SC Fusion Flow Based IR Aware Placement
NVIDIA
IC Compiler II-RedHawk-SC Fusion Flow Based IR Aware PlacementSession TypeSession Sub Type DescriptionSilicon size is continuously shrinking in accordance with Moores law. With increasing power/current density and higher active design logic due to technology scaling, IR drop is becoming a growing concern. An under-designed power grid not only degrades performance and reliability but could also result in functional failures. On the other hand, an over-designed power grid results in higher chip area and higher chip mask cost. To overcome these issues, ideally power grid needs to be optimized on a per block basis (as each block has its own power profile) to achieve the optimal chip performance (max VF operation) without sacrificing on area. In this paper, we present a novel methodology to perform concurrent PPA (Performance, Power and Area) optimization which considers IR drop as one of the parameters in the cost function to optimize the design.Author Shankarshana Janarthanan, Emmanuel Chao, Santosh A - NVIDIATarget Audience Intermediate Hall A2
12:00 pm - 1:30 pm
Networking Lunch
Networking LunchSession TypeSession Sub Type DescriptionGeneral Lunch Open to All AttendeesAuthor Target Audience All Hall D
12:15 pm - 1:45 pm
Lunch & Learn: Realizing Best-in-Class QoR and the Fastest Time-to-Market with the Synopsys Fusion Design Platform
Lunch & Learn: Realizing Best-in-Class QoR and the Fastest Time-to-Market with the Synopsys Fusion Design PlatformSession TypeSession Sub Type DescriptionThis session will highlight the latest technology innovations from Synopsys incorporated in the Fusion Design Platform that are together enabling customers to realize the most aggressive goals while creating their next-generation, market-shaping products. Leading customers will share their experiences, discuss best-practices and show how they have deployed these latest offerings in innovative ways to enhance their differentiation in today’s highly competitive marketplace.Author Target Audience All Hall A1
12:15 pm - 1:45 pm
Lunch & Learn: Synopsys Custom Design Platform: Accelerating Robust Custom Design
Lunch & Learn: Synopsys Custom Design Platform: Accelerating Robust Custom DesignSession TypeSession Sub Type DescriptionCustomers will share how they are using the Synopsys Custom Design Platform, a unified suite of design, simulation and verification tools, to accelerate the development of robust custom designs. Topics covered will include design for reliability, custom design closure, and visually-assisted layout automation.Author Target Audience All Mission City Ballroom
1:45 pm - 2:15 pm
Extending QoR Differentiation on GF 12LP with Synopsys' Advanced Fusion Technologies
GLOBALFOUNDRIES
Extending QoR Differentiation on GF 12LP with Synopsys' Advanced Fusion TechnologiesSession TypeSession Sub Type DescriptionThis paper will highlight the Synopsys Advanced Fusion capabilities evaluated for GlobalFoundries 12LP technology node. It demonstrates the benefit of deploying Design Fusion, Signoff Fusion, and ECO Fusion technologies for improved design robustness, better PPA, and faster TTM.Author Pratik Rajput - GLOBALFOUNDRIESTarget Audience Introductory, Intermediate Hall A2
1:45 pm - 2:30 pm
Accelerating Design Signoff with Software-driven Power Analysis
Synopsys
Accelerating Design Signoff with Software-driven Power AnalysisSession TypeSession Sub Type DescriptionJoin us to learn how PrimePower power analysis accelerates design signoff through the efficient reuse of RTL activity captured by ZeBu emulation. After briefly reviewing how software-driven emulation power analysis in ZeBu can identify windows of interest over billions of cycles to create input for signoff, this PrimePower power analysis tutorial focuses on the new RTL activity propagation and delay shifting capabilities, performance enhancements, and efficient tool links in PrimePower that enable signoff teams to perform accurate average power, peak power, and IR-drop analysis for gate-level designs with faster turn-around time. All users of PrimePower and PrimeTime PX Add-on (PTPX are invited to attend.Author Kanishka De - SynopsysTarget Audience Introductory, Intermediate Great America J
1:45 pm - 2:30 pm
Accelerating Simulation of High Accuracy Analog Designs with FineSim
Synopsys
Accelerating Simulation of High Accuracy Analog Designs with FineSimSession TypeSession Sub Type DescriptionWith the rapid scaling down of CMOS technology to 3nm node, analog circuit designs are getting bigger, devices have increased, and the number of parasitic RC has grown exponentially. Circuit simulation becomes more challenging in terms of accuracy, speed and capacity. In this session, we will showcase our high performance FineSim SPICE to address the analog circuit simulation challenges. As part of the tutorial, we will present recently enhanced Ease-of-Use (EoU) features, efficient RC reduction, FineSim RF new capabilities, simulation post-process and debugging.Author Gim Tan - SynopsysTarget Audience Intermediate 212
1:45 pm - 2:30 pm
Hierarchical Design Flow with Full-Depth Verification using Synthesis and Place & Route Tool Abstraction Features
Hierarchical Design Flow with Full-Depth Verification using Synthesis and Place & Route Tool Abstraction FeaturesSession TypeSession Sub Type DescriptionIn this paper we describe a hierarchical design flow for automatically synthesized, placed and routed digital circuits. The flow uses native abstractions created in the respective synthesis and place and route tools. The goal of the flow is to achieve full-depth verification of the top level blocks without using data management and collateral stitching ("fetch-and-stitch") in the downstream verification flows. Instead, full-depth output collaterals are written out of the place and route flow, thus enabling the use of partition level (i.e. leaf) verification flows. The flow provides increased verification accuracy in the context of lower level blocks that share metal layers with their parents when compared to the traditional "fetch-and-stitch" verification strategies. Additionally, the flow makes constraint management very easy and transparent, and simplifies IP handover procedures between design teams, as all that's needed are the respective binary files containing the abstract views from the synthesis and place and route toolsAuthor Target Audience Advanced Hall A3
1:45 pm - 2:30 pm
Leveraging ML to Improve VC LP Root Cause Analysis
Synopsys
Leveraging ML to Improve VC LP Root Cause AnalysisSession TypeSession Sub Type Description
NexGen SoCs with advanced graphics, computing and Artificial Intelligence capabilities are posing new unseen challenges in verification. Designers and verification engineers using static verification technologies like LP/CDC/RDC often complain about the large number of violations generated by these tools. Efficiently debugging and root-causing issues becomes a huge challenge.
 
This tutorial will talk about the present application of deterministic and machine learning-based techniques to automatically identify the accurate root causes for related group of violations. This will significantly help to reduce the overall TAT for verification closure ensuring a shift-left and also make sure that subtle bugs do not escape into silicon.
 
Author Himanshu Bhatt - SynopsysTarget Audience Intermediate
Mission City 2
1:45 pm - 2:30 pm
Scalable & Reusable Reset Connectivity Flow: A Formal Approach
Qualcomm
Scalable & Reusable Reset Connectivity Flow: A Formal ApproachSession TypeSession Sub Type DescriptionThis paper discusses a re-usable Reset Connectivity Specification generation using VC-Formal. The tool is used to identify all the registers in the design and identify their resets and sources. Using this information, we created a specification for all the sub blocks in the IP. We worked with the design team to get the enable conditions and path delays. Once proven, this acts as a golden specification for future revisions of the same architecture family. IP cores will need to review only delta reset paths to close reset connectivity verification. This reduces the time for verification and provides confidence for reset connectivity.Author Abhinav Zulkanthiwar, Srikanth Vadanaparthi - Qualcomm; Ankit Kumar Garg - SynopsysTarget Audience Introductory Ballroom G
1:45 pm - 2:30 pm
Using IP for LPDDR5/4/4X Connectivity and Memory Performance Optimization
Synopsys
Using IP for LPDDR5/4/4X Connectivity and Memory Performance OptimizationSession TypeSession Sub Type Description
The JEDEC LPDDR5/4/4X memory standards primarily target mobile applications, providing high bandwidth memory access and numerous low-power states for power savings during idle time. Unlike DDR5/4/3, each independent channel is 16 bits wide and applications such as digital home/office, laptops, SSDs, AI, etc. typically connect to these memories in unintended ways, such as operating two 16-bit channels in lock-step.
 
This presentation explains the different ways designers can connect to LPDDR5/4/4X SDRAMs and outlines the characteristics of each configuration including signal integrity trade-offs.
Author Brett Murdock - SynopsysTarget Audience All
209/210
1:45 pm - 3:15 pm
Systematic Low Power Verification for Early Detection of Power Intent Bugs
Broadcom; Synopsys
Systematic Low Power Verification for Early Detection of Power Intent BugsSession TypeSession Sub Type DescriptionProliferation of Power Aware (PA) design specification in UPF for todays designs has increased verification complexities and challenges. For example, state retention which is established as a viable LP technique to achieve power savings, can be simply specified with one retention command in UPF. However, such a command will influence thousands of registers in a medium size design and can exasperate verification teams in analyzing its effects on the behavior of the design. In this paper we describe a comprehensive methodology enabled by VCSs PAVE, to instrument customized Low Power (LP) assertions and coverage with dynamic visibility into RTL and UPF structures during simulation. Our initial requirement was to write assertions to identify clock and reset polarity violations when entering and exiting retention during RTL simulations. Previously we had to wait for PA Gate-Level Simulation (GLS) to identify such issues and sometimes it was too late. This flow has enabled us to fix the design during early phases of RTL development while keeping the retention register space to a minimum without any design performance degradation. We have further taken this flow to other areas and have started to develop library of customized LP assertions and cover groups for other teams and projects.Author Abhijit Wagh, Chetan Alvani - Broadcom; Shreedhar Ramachandra, Amir Nilipour - SynopsysTarget Audience Advanced Ballroom H
2:15 pm - 2:45 pm
Onwards and Upwards: How Xilinx is Leveraging TSMCs Latest Integration-and-Packaging Technologies with Synopsys Platform-wide Implementation Solution for our Next Generation Designs
Xilinx
Onwards and Upwards: How Xilinx is Leveraging TSMCs Latest Integration-and-Packaging Technologies with Synopsys Platform-wide Implementation Solution for our Next Generation DesignsSession TypeSession Sub Type Description
While already delivering industry-leading products at the performance and reticle-size limit, the accelerating demands associated with hyper-scale data-center, highly-configurable networking, and artificial intelligence are necessitating even higher computational-density than even the most advanced, Moore-driven-scaling-based processes can provide.
 
Three-dimensional (3D) IC and 2.5D interposer systems are the most promising technologies that are enabling Xilinx to deliver the density, latency, and power consumption demands to meet the ever-expanding challenges of todays hyper-converged systems. With flexible system-level scaling a core requirement to meet the varying demands of Xilinxs family-of-products solutions, TSMCs broad offering of 2.5D CoWoS, InFo, SoIC, and 3D Wafer-on-Wafer-direct-stacking provide for a wide variety of solutions to address the growing integration challenge.
 
This paper will provide insight into some of Xilinxs unique and FPGA-specific demands and highlight Synopsys key new technologies and methodologies that exploit these exciting and challenging silicon processes. As a platform-wide problem, we will share insights into the many unique methodologies that includes IC Compiler II for multi-die and interposer floor-planning, physical implementation and optimization. StarRC for parasitic extraction of CoWoS and WoW/SoIC designs, PrimeTime for a complete system, multi-die, and multi-process static-timing analysis and IC Validator that supports full-system DRC and LVS verification.
Author Simon Burke - XilinxTarget Audience Advanced
Hall A2
2:30 pm - 3:15 pm
Combining Monocular Visual SLAM and Deep Learning Low-Power Embedded Vision Systems for Augmented Reality
Synopsys
Combining Monocular Visual SLAM and Deep Learning Low-Power Embedded Vision Systems for Augmented RealitySession TypeSession Sub Type DescriptionSimultaneous localization and mapping (SLAM) is a deep learning technique that gathers visual data from the physical world to create 3D maps of the environment. Monocular visual SLAM relies on a single camera, like the one in mobile phones. SLAM executes computationally intensive tasks, such as feature extraction to identify landmarks, feature matching to determine the changing position of the camera, and loop detection and closure to estimate camera motion. Implementing these tasks on low-power devices like mobile phones requires computationally efficient and memory optimized solutions to reduce power consumption while keeping performance and latency at target levels. This presentation will explain the challenges AR designers face when implementing SLAM in AR applications, offer solutions to reduce system power consumption, and describe how to combine deep learning and evolving SLAM techniques in low-power systems.Author Gordon Cooper - SynopsysTarget Audience Introductory 209/210
2:30 pm - 3:15 pm
Finite State Machine I (FSM) Design & Synthesis using SystemVerilog
Sunburst Design; HMC Design Verification
Finite State Machine I (FSM) Design & Synthesis using SystemVerilogSession TypeSession Sub Type Description
There are at least six different Finite State Machine (FSM) design techniques that are commonly taught, one with combinatorial outputs and five with registered outputs. This paper will describe three of the FSM design techniques: (1) 2-Always Block Style with combinatorial outputs, (2) 1-Always Block Style with registered outputs, and (3) 3-Always Block Style with registered outputs.
 
This paper establishes measurement techniques to determine good coding styles and also shows synthesis results for both ASIC and FPGA designs. Multiple benchmark FSM designs are used to measure coding style and synthesis efficiency.
 
The other three FSM design styles will be described in one or more follow-on papers.
Author Clifford Cummings - Sunburst Design; Heath Chambers - HMC Design VerificationTarget Audience Intermediate
Hall A3
2:30 pm - 3:15 pm
High Performance Formal Verification: A Perfect Use of Machine Learning Techniques
Synopsys
High Performance Formal Verification: A Perfect Use of Machine Learning TechniquesSession TypeSession Sub Type Description
Formal Verification is becoming an integral part of modern verification environments. With increasing adoption of Formal, design and verification teams are setting up Formal regressions similar to what we have seen for simulation for the past few decades. Across consecutive nightly Formal regression runs, generally there is very little incremental change in RTL and assertions; this represents a great opportunity for machine learning technologies to learn from one Formal regression run and leverage it for the next regression run.
 
VC Formal RMA (Regression Mode Accelerator) leverages machine learning technologies to provide significant performance boost for Formal regressions. The same capability can also be used to accelerate designer/verification engineers' driven interactive Formal verification.
 
In this tutorial, we start with a brief introduction to machine learning techniques, we will talk about RMA, its use models and share information on a few customer case studies.
 
Author Target Audience Introductory, Intermediate
Mission City 2
2:30 pm - 3:15 pm
Laker to Custom Compiler: A Journey
Microsoft
Laker to Custom Compiler: A JourneySession TypeSession Sub Type DescriptionIn this paper, the methodologies followed while migrating an existing mixed signal design from LakerOA to Custom Compiler are explored. The gotchas that were encountered in the process and the rigorous techniques needed for the validation efforts post migration are discussed in detail. This paper also talks separately about the import of a proprietary database through CDL Netlist Text and touches upon the custom scripts developed to facilitate the migration with minimal manual intervention.Author Sarvesh Ganesan - MicrosoftTarget Audience Intermediate 212
2:30 pm - 3:15 pm
More than Just Connectivity Check: Visibility, Capacity, Performance, Debug, Connectivity Extraction, and Closure
Broadcom; Synopsys
More than Just Connectivity Check: Visibility, Capacity, Performance, Debug, Connectivity Extraction, and ClosureSession TypeSession Sub Type DescriptionFormal verification for connectivity checking has been proven to deliver high quality, exhaustive and error-free results for large SoCs and is preferred over simulation approach. In this paper, we will describe our experience using a new generation of Connectivity Check (CC) and Connectivity Extraction applications offered in VC-Formal with special focus on ease-of-use, debug, and coverage. We will cover how the integrated Verdi waveform and schematic views along with specialized Formal debug features helped analyze passing, failing and wrongly specified connections. We will share our experience on using auto-extracted toggle coverage goals of proven connections to merge with simulation coverage. The CC coverage also helped determine if there are paths for which connectivity checks were missing. Connectivity extraction feature can generate valid checks between source and destination signals or instances for all outputs that are connected to inputs paths. As these extracted checks are inherently proven, formal check is only needed to collect toggle coverage on the affected paths. Once reviewed these checks become golden properties during design and verification cycles. In the last part of the paper, we will also describe our automation and push button flow.Author Neel Sonara, Sneha Patel - Broadcom; Amir Nilipour, Xiaolin Chen - SynopsysTarget Audience Advanced Ballroom G
2:30 pm - 3:15 pm
No Vectors? No Problem! Analyzing Power Earlier with PrimePower 2019
Synopsys
No Vectors? No Problem! Analyzing Power Earlier with PrimePower 2019Session TypeSession Sub Type DescriptionFollowing the introduction of PrimePower in 2018, Synopsys continues its strong leadership position in Signoff Power Analysis with new capabilities. Join us for this tutorial to learn about the latest enhancements available in the PrimePower 2019 release, including the use of vector-less power analysis to calculate your average worst power earlier in the development cycle. We will also showcase specific usability enhancements to improve analysis and designer productivity. All users of PrimePower and PrimeTime PX Add-on (PTPX) are invited to attend.Author Mahmud Ullah - SynopsysTarget Audience Introductory, Intermediate Great America J
2:30 pm - 3:15 pm
UPF Information Model: Key to Efficient Power Aware Verification
Qualcomm
UPF Information Model: Key to Efficient Power Aware VerificationSession TypeSession Sub Type DescriptionWith SoC complexity growing multi-fold with advanced low power architectures; with requirement to tape out multiple such complex SoCs in stringent TTM space, enabling reusable, scalable low power testbench methodology for dynamic verification is essential to catch low power bugs in simulation quickly and efficiently. The plug-and-play Synopsys low power testbench solution supports LRM compliant UPF information model APIs in order to monitor power domain sim states, supply states and entire power network that in turn enables users to write assertions, coverage for low power and also allows to control the simulation based on observed values. This approach also solves the traditional challenges faced by verification engineers to bring up a reusable power aware testbench on top of functional testbenches.Author Sriram Hariharan - Qualcomm; Narayanan Ganesan, Shreedhar Ramachandra - SynopsysTarget Audience Intermediate Ballroom H
2:45 pm - 3:15 pm
Block Level CTS Debug With IC Compiler II
Synopsys
Block Level CTS Debug With IC Compiler IISession TypeSession Sub Type Description
Clocking structures are at the heart of every design, with more complexity these days than ever. Ever increasing design sizes, merging of test modes for in-design test and advanced power savings techniques, all add to that complexity.
 
This tutorial will walk the attendee through a typical approach to CTS debug. Both reporting and interactive exploration techniques will be covered for several common clocking issues with examples provided for each.
 
The target audience is ICC II users who do block level physical design implementation. Attendees should expect to gain broader understanding of how to apply a number of ICC II GUI and reporting features for use in understanding common, block level CTS issues that tool users will regularly encounter. The presentation will include some best practices for common block level tasks as well as examples of visualization on design data.
Author Pete Churchill - SynopsysTarget Audience Intermediate
Hall A2
3:30 pm - 4:15 pm
5G Mobile SoC Pre-RTL Power/Performance Optimization
5G Mobile SoC Pre-RTL Power/Performance OptimizationSession TypeSession Sub Type Description
New Radio Access Technology (NR) requirements with higher peak data rates and broadening variety of use cases lead to drastically increasing gaps between lowest and highest device performance and power operating points. It becomes more challenging to meet power and performance KPI targets across broad range of applications with one architecture. Post-RTL and post-silicon optimization efforts become more resource and time consuming, increasing risks to delivery schedule.
 
This presentation outlines pre-RTL NR SoC architecture exploration with simultaneous optimization of power, HW and SW performance KPIs.
 
The case study is focusing on power architecture and power management efficiency exploration and implementation requirements definition while meeting HW and SW performance KPIs across broad range of use cases.
Author Target Audience Intermediate, Advanced, Expert
Ballroom G
3:30 pm - 4:15 pm
Accelerate Your Move to 32GT/s PCI Express 5.0 Designs
Synopsys
Accelerate Your Move to 32GT/s PCI Express 5.0 DesignsSession TypeSession Sub Type DescriptionThe PCI Express® 5.0 specification offers a fast interconnect technology for high-end computing and emerging artificial intelligence applications. However, moving to PCIe 5.0 design requires designers to consider and overcome several key challenges including managing datapath width, timing closure, signal integrity, and complex packaging issues. In addition, a close collaboration between system designers, SoC designers, and layout designers becomes important. Attend this presentation to find out how to accelerate your move to 32GT/s PCIe® 5.0 designs using proven IP while managing your evolving design requirements.Author Gary Ruggles - SynopsysTarget Audience Intermediate 209/210
3:30 pm - 4:15 pm
Am I Implementing What I Have Simulated?
Socionext; Synopsys
Am I Implementing What I Have Simulated?Session TypeSession Sub Type DescriptionLow Power designs are becoming increasingly complex and one of the key concerns of designers is that there is currently no way to ensure that the UPF interpreted by Simulation is the same as the one implemented by Synthesis tools. This paper describes a flow methodology that ensures that the UPF interpreted by the Simulation tool is the same as the one implemented by Synthesis tools. The methodology is based on one key concept: the simulation tool creates an output UPF based on the final interpretation of the input UPF by the simulation engine.Author Mahiro Hikita - Socionext; Shreedhar Ramachandra, Mithun Thotadur Mahabaleswara - SynopsysTarget Audience Intermediate Ballroom H
3:30 pm - 4:15 pm
Full Flow Physical Verification Productivity using IC Validator
AMD, Toshiba, Synopsys
Full Flow Physical Verification Productivity using IC ValidatorSession TypeSession Sub Type DescriptionLearn about the latest IC Validator technology to enhance physical verification productivity across the full design flow: In-Design verification for early detection and correction of issues during block implementation, Explorer for fast identification of key design weaknesses during SOC integration, and Signoff scalability for massively parallel distributed processing during signoff physical verification.Author Chris Grossmann, Dan Page - Synopsys; Nobuhiko Ogawa - ToshibaTarget Audience Introductory, Intermediate Hall A2
3:30 pm - 4:15 pm
High Speed SerDes - What are the Challenges for Advanced Nodes?
Synopsys
High Speed SerDes - What are the Challenges for Advanced Nodes?Session TypeSession Sub Type Description
New process technologies enable designers to deliver more performance, but at the cost of significantly more design effort. Challenges introduced by the latest process technologies include the divergence between pre-layout and post-layout simulations, high interconnect parasitics, and the need to design for reliability.
 
During this presentation, you will hear from the Synopsys Mixed Sigal IP team about our high-speed SerDes design projects key findings, illustrating how designers can optimize their design methodology to overcome challenges, while meeting aggressive schedules. We will also describe some of the key features of the Synopsys Custom Design Platform that were helpful on this project.
Author Ayal Shoval - SynopsysTarget Audience All
212
3:30 pm - 5:00 pm
Best Practices using Synopsys Fusion Technology to Achieve High-performance, Energy Efficient implementations of the latest Arm® Processors in 7-nanometer FinFET (7FF) Process Technology
Arm; Synopsys
Best Practices using Synopsys Fusion Technology to Achieve High-performance, Energy Efficient implementations of the latest Arm® Processors in 7-nanometer FinFET (7FF) Process TechnologySession TypeSession Sub Type DescriptionThe Synopsys Fusion Technology transforms the RTL-to-GDSII design flow with the fusion of best-in-class optimization and industry-golden signoff tools, enabling designers to accelerate the delivery of their next-generation designs. This session will highlight how this technology enables efficient implementation of Arm's next-generation Armv8-A processors in 7-nm technology. This tutorial will highlight best practices, new methodologies and enabling technologies from the Synopsys Fusion Technology platform allowing customers to meet challenging performance and time-to-results targets, while also minimizing dynamic and leakage power on Arm's next-generation Armv8-A processors.Author Leah Schuth - Arm; Michael Montana - SynopsysTarget Audience Intermediate, Advanced Hall A3
3:30 pm - 5:00 pm
Panel: How is AI Transforming Chip Design and Verification?
Synopsys
Panel: How is AI Transforming Chip Design and Verification?Session TypeSession Sub Type DescriptionAI and Machine Learning are transforming businesses all around us. Hear about how AI is transforming semiconductor design and verification from experienced panelists, and learn about steps you can take right away to benefit from AI-driven productivity.Author Target Audience Introductory, Intermediate Mission City 2
4:15 pm - 5:00 pm
Analog Design Closure
Synopsys
Analog Design ClosureSession TypeSession Sub Type DescriptionThis session will illustrate selected features of Custom Compiler that provide circuit designers with early visibility into layout parasitics. We will demonstrate how visually-assisted automation tightens communication between design and layout teams and reduces overall layout time. We will also show how Custom Compilers new Extraction Fusion technology with StarRC enables designers to extract parasitics from partially completed layout,  without needing to finish the design and run LVS.Author Karun Sharma - SynopsysTarget Audience All 212
4:15 pm - 5:00 pm
Early UPF Checking and Hierarchical Low Power Static Verification
Synopsys
Early UPF Checking and Hierarchical Low Power Static VerificationSession TypeSession Sub Type DescriptionWith increasing SoC complexity, growing design sizes and advanced power-aware architectures, early and efficient static low power verification is essential to reduce turnaround times and enable faster time to market. UPF and design development goes hand in hand, but schedules may vary. It is difficult to verify the accuracy and correctness of the UPF without the design being available. There are many UPF issues which can be caught independent of the design with the new design independent UPF checker. For hierarchical verification, designers use a black box flow, Liberty model based hierarchical flow, ETM flow or a glass box flow that offer various degrees of trade-offs for accuracy and performance. While the black box flow is best for performance, the full flat run gives the best quality of results. Synopsys VC LP solution has a new flow with static abstract models (SAM) for hierarchical verification which provides guaranteed QoR and achieves better performance than flat runs. The tutorial also showcases a methodology for UPF aware clock domain crossing (CDC) and reset domain crossing (RDC) verification.Author Himanshu Bhatt - SynopsysTarget Audience Intermediate Ballroom H
4:15 pm - 5:00 pm
End-to-End Software Development and Testing using Virtual Prototyping with Virtualized PCIe I/O
Synopsys
End-to-End Software Development and Testing using Virtual Prototyping with Virtualized PCIe I/OSession TypeSession Sub Type Description
Virtual platforms, due to their early availability and unique controllability and observability of the system operation, allow device software to be developed ahead of hardware availability and tested with full visibility of the hardware software interactions in the system. With the introduction of Synopsys' PCIe Virtual I/O technology, you can now connect a virtual prototype of your device to a PCIe based host system implemented as a virtual machine, running the guest OS of your choice, as if it was a physical device. This capability enables the development of host side drivers of your PCIe device, run applications that exercise the device on the target OS and end-to-end testing of such systems.
 
In this tutorial, we will show how PCIe Virtual I/O capability can be used with a virtual prototype of an SSD device to create a fully virtual solution for end-to-end software development and test. Based on PCIe benchmark application, we will demonstrate the execution of end-to-end use cases, thus improving software stability and test coverage, as well as validating overall system architecture. We will also demonstrate the unique debugging capabilities to accelerate software development for complex PCIe based SSD devices.
Author Mojin Kottarathil - SynopsysTarget Audience Advanced, Expert
Ballroom G
4:15 pm - 5:00 pm
Pushing the Limit: Improvement of Design Routability in the EUV Technology
Samsung
Pushing the Limit: Improvement of Design Routability in the EUV TechnologySession TypeSession Sub Type DescriptionRoutability of design directly impacts the performance, power, and area scalability in nanometer technologies. Achieving better routability of designs is becoming more difficult due to the following reasons: 1) Many standard cells start to be designed with lower 1x metal layers such as M2 and M3, which are typically used for routing only. 2) The density of power mesh keeps increasing in order to compensate ever increasing resistance and tightened electromigration constraints. 3) Complex design rules introduced by the EUV technology also hurt the design routability. To overcome this challenge, not only the P&R tool itself, but also the design rule, the standard cell and the power mesh structure should be improved considering one another at the same time. In this study, we would like to present how we as a foundry provider collaborate with EDA to push the limit of design routability in the EUV technology.Author Kyungtae Do, Hyung-Ock Kim, YongDurk Kim, Jun Seomun, Jaewan Yang - SamsungTarget Audience Intermediate Hall A2
5:00 pm - 6:30 pm
Awards and SNUG After Party
Awards and SNUG After PartySession TypeSession Sub Type Description
Wrap up your SNUG experience at the SNUG After Party! Indulge in food and drinks while mingling with fellow attendees, presenters, technical committee members, executives, and sponsors! You’ll also have the chance to congratulate award winners and win great prizes!
Author Target Audience
Hall B

Thank you to our Sponsors

Platinum

Gold

Corporate