SNUG Germany 2017
 
SNUG Germany Agenda
Thursday, June 29, 2017

Use the pull downs below to filter by time, location or track:
Automotive
Thursday, June 29, 2017
10:45 - 11:45Strauss BCustomer Keynote & Panel: Technology Trends and Dynamics in the Automotive Market [More Info]
Luca DeAmbroggi, Principal Analyst, IHS Markit
Joachim Kunkel, Executive Vice President and General Manager, Solutions Group, Synopsys
Jeff Hutton, Synopsys
11:45 - 12:15Strauss BZOIX Evaluation in a Microcontroller [More Info]
Martino Quattrocchi, STMicroelectronics
13:30 - 14:00Strauss BPerformance Analysis with ARM Cycle Models in Platform Architect for Next Generation Automotive Devices [More Info]
Manfred Thanner, NXP Semiconductors
14:00 - 14:30Strauss BPerformance Analysis of an ADAS System with Synopsys Platform Architect MCO [More Info]
Danilo Piergentili, Robert Bosch GmbH
14:30 - 15:00Strauss BBehavioral Modeling of a Power Switch Drive IO Chip in Saber Platform [More Info]
Saad Jabir, Nur-Engineering GmbH/Infineon
15:30 - 16:15Strauss BMeeting IP Requirements of ADAS Automotive SoCs [More Info]
Andreas Vielhaber, Synopsys
16:15 - 17:00Strauss BSynopsys Automotive Test - ISO 26262-Certified Solution [More Info]
Frank Nolting, Synopsys
 
Digital Functional Verification
Thursday, June 29, 2017
10:45 - 11:15StuderVerification of Multi-Cycle Path Timing Exceptions in Simulation with Automatically Generated SystemVerilog Assertions [More Info]
Akshaya Prashanthi Lakshmi Narayanan, Infineon Technologies AG
11:15 - 11:45StuderIntroduction to Reset Domain Crossings [More Info]
James Gillespie, Synopsys
11:45 - 12:15StuderDriving Synchronizer Implementation from RTL Analysis [More Info]
Carsten Rau, Infineon Technologies AG
13:30 - 14:30StuderBoosting Debug Productivity with Verdi – Practical Applications of Debug Innovations [More Info]
Jörg Richter, Synopsys
14:30 - 15:00StuderComprehensive Power Verification for Ultra-Low-Power SoC Designs [More Info]
15:30 - 16:15StuderVCS Performance Innovations - Fine-Grained Parallelism and More! [More Info]
Werner Kerscher, Synopsys
16:15 - 17:00StuderVC Formal - Formal Verification with Assertion IPs [More Info]
Laureano Carrasco, Synopsys
 
Digital Implementation
Thursday, June 29, 2017
10:45 - 11:30Strauss ADebugging and Resolving Failing and Inconclusive Verifications in Formality [More Info]
Dr. Manuela Anton, Synopsys
11:30 - 12:15Strauss AHyperScale: Next Generation Hierarchical Timing Sign-off [More Info]
Gernot Gall, Synopsys
13:30 - 14:00Strauss AEvaluation of TetraMAX II for Small Digital Designs in Mixed Signal Applications [More Info]
Richard Illman, Dialog Semiconductor
14:00 - 14:30Strauss AAutomatic Test Point Insertion for MPHY Products [More Info]
14:30 - 15:00Strauss APhysically Aware ATPG Testpoint Insertion in DCG/ICC [More Info]
Jochen Neidhardt, BOSCH Sensortec
15:30 - 16:15Strauss APipeline Register Planning using IC Compiler II [More Info]
David Kingston, Synopsys
16:15 - 17:00Strauss AIC Compiler II Release Update [More Info]
David Kingston, Synopsys
 
Digital Verification (IP/Prototyping) & Advanced Node Implementation
Thursday, June 29, 2017
10:45 - 11:45BialasA New Concept of UMRBus-based Verification Environment FPGA Acceleration: a Cycle-accurate Approach [More Info]
Ilya Dmitrienko, Baikal Electronics
Igor Suetinov, Baikal Electronics
Philipp Jacobsohn, Synopsys
11:45 - 12:15BialasCreating Highly Reliable FPGA Designs [More Info]
Philipp Jacobsohn, Synopsys
13:30 - 14:00BialasMinimizing Power of a 16nm 1.5M Instance RFSoC Digital Design using Design Compiler [More Info]
Ryan Kinnerk, Xilinx
14:00 - 14:30BialasHierarchical Design Exploration to find Automatic Body Bias Configuration to Achieve Optimal PPA for Globalfoundries 22 FD-SOI Technology [More Info]
Askan Manger, GLOBALFOUNDRIES
14:30 - 15:00BialasEarly Stage Rail Analysis of Complex SoC Design Using PrimeRail In-Design Flow [More Info]
Dmitry Radchenko, Synopsys
15:30 - 16:15BialasGalaxy RTL: Design Compiler Family 2016.12 Update [More Info]
Frederic Genin, Synopsys
16:15 - 17:00BialasICV In-Design Flow with IC Compiler II [More Info]
Dmitry Radchenko, Synopsys
 
Full Custom & Analog / Mixed Signal
Thursday, June 29, 2017
10:45 - 11:15OrffUtilizing VCS AMS for High Performance Mixed Signal Verification [More Info]
Peter Thompson, Synopsys
11:15 - 11:45OrffVariation Block Monte-Carlo in CustomSim and VCS-AMS - Modelling and Application [More Info]
Haiko Morgenstern, Infineon Technologies AG
11:45 - 12:15OrffToggle Coverage at the Digital/Analog Boundary for Mixed Signal Simulations with VCS AMS [More Info]
Alessandro Valerio, STMicroelectronics
13:30 - 14:00OrffIntegration of High-voltage Driver Made Easy with Synopsys Custom Compiler and a Python-based Layout Automation Flow [More Info]
Melanie Wilhelm, X-FAB Semiconductor Foundries AG
14:00 - 14:30OrffTechnology-Independent Programmable Schematics (Schematic PCells) [More Info]
Gernot Koch, TDK-Micronas GmbH
14:30 - 15:00OrffCustom Compiler Customization for ST Design Flows [More Info]
Sébastien Mathieu, STMicroelectronics
15:30 - 17:00OrffUsing Custom Compiler’s Visually-Assisted Automation for Analog and Custom Digital Layout [More Info]
Damian Roberts, Synopsys
 
General Sessions
Thursday, June 29, 2017
08:00 - 09:00Strauss FoyerBreakfast
08:00 - 18:30Strauss FoyerRegistration
09:00 - 10:15Strauss BallroomKeynote - From Silicon to Software, Smartness Is Everything [More Info]
Joachim Kunkel, Executive Vice President and General Manager, Solutions Group, Synopsys
12:15 - 13:30Zum Gasteig (Hotel Restaurant)Networking Lunch
17:00 - 18:30Strauss FoyerAwards and Refreshments
 
User Content Reviewed by the Technical Committee
Thursday, June 29, 2017
10:45 - 11:45BialasA New Concept of UMRBus-based Verification Environment FPGA Acceleration: a Cycle-accurate Approach [More Info]
Ilya Dmitrienko, Baikal Electronics
Igor Suetinov, Baikal Electronics
Philipp Jacobsohn, Synopsys
10:45 - 11:15StuderVerification of Multi-Cycle Path Timing Exceptions in Simulation with Automatically Generated SystemVerilog Assertions [More Info]
Akshaya Prashanthi Lakshmi Narayanan, Infineon Technologies AG
11:15 - 11:45OrffVariation Block Monte-Carlo in CustomSim and VCS-AMS - Modelling and Application [More Info]
Haiko Morgenstern, Infineon Technologies AG
11:45 - 12:15StuderDriving Synchronizer Implementation from RTL Analysis [More Info]
Carsten Rau, Infineon Technologies AG
11:45 - 12:15OrffToggle Coverage at the Digital/Analog Boundary for Mixed Signal Simulations with VCS AMS [More Info]
Alessandro Valerio, STMicroelectronics
11:45 - 12:15Strauss BZOIX Evaluation in a Microcontroller [More Info]
Martino Quattrocchi, STMicroelectronics
13:30 - 14:00Strauss AEvaluation of TetraMAX II for Small Digital Designs in Mixed Signal Applications [More Info]
Richard Illman, Dialog Semiconductor
13:30 - 14:00BialasMinimizing Power of a 16nm 1.5M Instance RFSoC Digital Design using Design Compiler [More Info]
Ryan Kinnerk, Xilinx
13:30 - 14:00Strauss BPerformance Analysis with ARM Cycle Models in Platform Architect for Next Generation Automotive Devices [More Info]
Manfred Thanner, NXP Semiconductors
14:00 - 14:30Strauss AAutomatic Test Point Insertion for MPHY Products [More Info]
14:00 - 14:30Strauss BPerformance Analysis of an ADAS System with Synopsys Platform Architect MCO [More Info]
Danilo Piergentili, Robert Bosch GmbH
14:00 - 14:30OrffTechnology-Independent Programmable Schematics (Schematic PCells) [More Info]
Gernot Koch, TDK-Micronas GmbH
14:30 - 15:00Strauss BBehavioral Modeling of a Power Switch Drive IO Chip in Saber Platform [More Info]
Saad Jabir, Nur-Engineering GmbH/Infineon
14:30 - 15:00StuderComprehensive Power Verification for Ultra-Low-Power SoC Designs [More Info]
14:30 - 15:00OrffCustom Compiler Customization for ST Design Flows [More Info]
Sébastien Mathieu, STMicroelectronics
14:30 - 15:00Strauss APhysically Aware ATPG Testpoint Insertion in DCG/ICC [More Info]
Jochen Neidhardt, BOSCH Sensortec