SNUG France 2017
 
SNUG France Agenda
Thursday, June 22, 2017

Use the pull downs below to filter by time, location or track:
Custom & AMS
Thursday, June 22, 2017
10:45 - 11:15CervinAn Configurable V/I Source/Probe Testbench Component for AMS [More Info]
Peter Grove, Dialog SemiConductor Ltd.
11:15 - 11:45Cervin40nm Test Chip Verification: Co-simulation Methodology with Digital-On-Top Approach Based on VCS AMS (CustomSim-VCS) [More Info]
Enrico Castaldo, STMicroelectronics
11:45 - 12:15CervinCustom Compiler Mixed Flow Demo [More Info]
Fouad Bissane, Synopsys
13:30 - 14:00CervinDDR System Simulation/Optimization of an Integrated MultiPHY IP [More Info]
Déborah Cogoni, STMicroelectronics
14:00 - 14:30CervinSTMicroelectronics-LIRMM: An Advanced Diagnosis Flow Using CustomSim for SRAMs [More Info]
Tien-Phu HO, STMicroelectronics/LIRMM
Patrice Loth, Synopsys
14:30 - 15:00CervinCustom Compiler Customization for ST Design Flows [More Info]
Sébastien Mathieu, STMicroelectronics
Eric Nercessian, STMicroelectronics
15:30 - 17:00CervinRapid Layout of 7nm Custom Digital Designs Using Custom Compiler [More Info]
Damian Roberts, Synopsys
 
Design for Test & Pattern Generation
Thursday, June 22, 2017
10:45 - 11:15Kilimandjaro 1IP Testing Based on DFT Wrapping by DFTMAX® and Pattern Porting by TetraMAX® Utility (STILGen) [More Info]
Fabio Mazza, STMicroelectronics
11:15 - 11:45Kilimandjaro 1DFTMax to DFTMax Ultra Transition in Microcontroller Designs [More Info]
Marc Beaujoin, STMicroelectronics
Cédric Escallier, STMicroelectronics
11:45 - 12:15Kilimandjaro 1TetraMax II ATPG [More Info]
Philippe Rossant, Synopsys
13:30 - 14:00Kilimandjaro 1Accelerating Silicon Diagnosis Using a Cell-Aware Flow [More Info]
Nelly Feldman, STMicroelectronics
14:00 - 14:30Kilimandjaro 1ZOIX Evaluation in a STM32 Microcontroller [More Info]
Martino Quattrocchi, STMicroelectronics
14:30 - 15:00Kilimandjaro 1Improve Fault Coverage with ZOIX Fault Simulation [More Info]
Jean-Marc Forey, Synopsys
15:30 - 16:30Kilimandjaro 1SpyGlass® DFT ADV: High Testability, SoC Connectivity, Functional Safety and Reliability [More Info]
Jean-Pierre Popieul, Synopsys
16:30 - 17:00Kilimandjaro 1Physically Aware Test Points [More Info]
Pierre Duclos, Synopsys
 
Frontend Implementation
Thursday, June 22, 2017
10:45 - 11:15Mont-Blanc 1Improving Flow Convergence Through Integrated Clock Gating Constraint Management [More Info]
Choukri Saidi, STMicroelectronics
11:15 - 12:15Mont-Blanc 1Galaxy RTL: Design Compiler Family M-2016.12 Update [More Info]
Ludovic Pinon, Synopsys
13:30 - 14:00Mont-Blanc 1The Early Power Estimation Lottery: Increasing the Accuracy of Results in Order to Pick the Winning Number [More Info]
Alessandro Nale, Nokia
14:00 - 15:00Mont-Blanc 1PrimeTime PX Power and Reliability Analysis of Multi-voltage Designs Through the Flow [More Info]
Maria Tovey, Synopsys
15:30 - 16:00Mont-Blanc 1VC-LP : Not just for chips! [More Info]
Ben Kerr, Toshiba America Electronic Components
16:00 - 17:00Mont-Blanc 1A “Completely Cool” Case study – Bitcoin Low Power Flow & Methodology – Implementation [More Info]
Pascal Coffin, Synopsys
 
General Sessions
Thursday, June 22, 2017
08:30 - 09:30AtriumBreakfast
08:30 - 18:00AtriumRegistration
09:30 - 10:30AuditoriumKeynote - From Silicon to Software, Smartness Is Everything [More Info]
Dr. Antun Domic, Executive Vice President and General Manager, Design Group, Synopsys
12:15 - 13:30AtriumNetworking Lunch
17:00 - 18:00AtriumAwards and Refreshments
 
Physical Design & Signoff
Thursday, June 22, 2017
10:45 - 11:15Mont-Blanc 3[ST Microelectronics - TIMA] Tool Chain for Early Digital Design Failure Rate Estimation & Workload Aged Timing Analysis [More Info]
Ajith Sivadasan, STMicroelectronics
11:15 - 11:45Mont-Blanc 3Methodology to Sign Off Custom Layout Modifications with Static Timing Analysis for Nand Flash Type Memory Designs [More Info]
Domenico Tuzi, Micron Semiconductor
11:45 - 12:15Mont-Blanc 3Advanced Techniques to Reduce the Crosstalk Pessimism in the PrimeTime SI Timing Analysis [More Info]
Patrick Bougant, STMicroelectronics
13:30 - 14:30Mont-Blanc 3IC Compiler II 2016.12 Update [More Info]
Hervé Raffard, Synopsys
14:30 - 15:00Mont-Blanc 3Pipeline Register Planning [More Info]
Gaspard Thaller, Synopsys
15:30 - 16:00Mont-Blanc 3Faster Timing Closure with Useful Skew using PrimeTime Clock ECO [More Info]
Tarun Chawla, STMicroelectronics
16:00 - 16:30Mont-Blanc 3Galaxy Incremental Signoff ECO Flow [More Info]
Gaspard Thaller, Synopsys
16:30 - 17:00Mont-Blanc 3Accelerating ECO Implementation Using Formality Ultra [More Info]
Eric Zann, Synopsys
 
User Content Reviewed by the Technical Committee
Thursday, June 22, 2017
10:45 - 11:15Mont-Blanc 3[ST Microelectronics - TIMA] Tool Chain for Early Digital Design Failure Rate Estimation & Workload Aged Timing Analysis [More Info]
Ajith Sivadasan, STMicroelectronics
10:45 - 11:15CervinAn Configurable V/I Source/Probe Testbench Component for AMS [More Info]
Peter Grove, Dialog SemiConductor Ltd.
10:45 - 11:15Mont-Blanc 1Improving Flow Convergence Through Integrated Clock Gating Constraint Management [More Info]
Choukri Saidi, STMicroelectronics
10:45 - 11:15Kilimandjaro 1IP Testing Based on DFT Wrapping by DFTMAX® and Pattern Porting by TetraMAX® Utility (STILGen) [More Info]
Fabio Mazza, STMicroelectronics
10:45 - 11:15Kilimandjaro 3Performance Analysis of an ADAS System with Synopsys Platform Architect MCO [More Info]
11:15 - 11:45Cervin40nm Test Chip Verification: Co-simulation Methodology with Digital-On-Top Approach Based on VCS AMS (CustomSim-VCS) [More Info]
Enrico Castaldo, STMicroelectronics
11:15 - 11:45Kilimandjaro 1DFTMax to DFTMax Ultra Transition in Microcontroller Designs [More Info]
Marc Beaujoin, STMicroelectronics
Cédric Escallier, STMicroelectronics
11:15 - 11:45Mont-Blanc 3Methodology to Sign Off Custom Layout Modifications with Static Timing Analysis for Nand Flash Type Memory Designs [More Info]
Domenico Tuzi, Micron Semiconductor
11:15 - 11:45Kilimandjaro 3Platform Architect for HPC ARM-based SoC Design [More Info]
Joël Wanza Weloli, Bull
13:30 - 14:00Kilimandjaro 1Accelerating Silicon Diagnosis Using a Cell-Aware Flow [More Info]
Nelly Feldman, STMicroelectronics
13:30 - 14:00CervinDDR System Simulation/Optimization of an Integrated MultiPHY IP [More Info]
Déborah Cogoni, STMicroelectronics
13:30 - 14:00Kilimandjaro 3Detection & Management of Unwanted Logic in a Multi-Flop Synchronizer at Gate level using Spyglass-CDC [More Info]
Amaury Brême, STMicroelectronics
13:30 - 14:00Mont-Blanc 1The Early Power Estimation Lottery: Increasing the Accuracy of Results in Order to Pick the Winning Number [More Info]
Alessandro Nale, Nokia
14:00 - 14:30CervinSTMicroelectronics-LIRMM: An Advanced Diagnosis Flow Using CustomSim for SRAMs [More Info]
Tien-Phu HO, STMicroelectronics/LIRMM
Patrice Loth, Synopsys
14:00 - 14:30Kilimandjaro 3Validation of Multi-Cycle Path Timing Exceptions in Simulation with Automatically Generated SystemVerilog Assertions [More Info]
Akshaya Prashanthi Lakshmi Narayanan, Infineon Technologies AG
14:00 - 14:30Kilimandjaro 1ZOIX Evaluation in a STM32 Microcontroller [More Info]
Martino Quattrocchi, STMicroelectronics
14:30 - 15:00CervinCustom Compiler Customization for ST Design Flows [More Info]
Sébastien Mathieu, STMicroelectronics
Eric Nercessian, STMicroelectronics
15:30 - 16:00Mont-Blanc 3Faster Timing Closure with Useful Skew using PrimeTime Clock ECO [More Info]
Tarun Chawla, STMicroelectronics
15:30 - 16:00Mont-Blanc 1VC-LP : Not just for chips! [More Info]
Ben Kerr, Toshiba America Electronic Components
 
Verification & Virtual Prototyping
Thursday, June 22, 2017
10:45 - 11:15Kilimandjaro 3Performance Analysis of an ADAS System with Synopsys Platform Architect MCO [More Info]
11:15 - 11:45Kilimandjaro 3Platform Architect for HPC ARM-based SoC Design [More Info]
Joël Wanza Weloli, Bull
11:45 - 12:15Kilimandjaro 3UPF3.0 for Early System-level Power Analysis [More Info]
Bart Weuts, Synopsys
13:30 - 14:00Kilimandjaro 3Detection & Management of Unwanted Logic in a Multi-Flop Synchronizer at Gate level using Spyglass-CDC [More Info]
Amaury Brême, STMicroelectronics
14:00 - 14:30Kilimandjaro 3Validation of Multi-Cycle Path Timing Exceptions in Simulation with Automatically Generated SystemVerilog Assertions [More Info]
Akshaya Prashanthi Lakshmi Narayanan, Infineon Technologies AG
14:30 - 15:00Kilimandjaro 3Introduction to Reset Domain Crossings [More Info]
Jérôme Avezou, Synopsys
15:30 - 17:00Kilimandjaro 3Increase Your Verification Productivity with VC Formal [More Info]
Giovanni Auditore, STMicroelectronics
Patrick Blestel, Synopsys