SNUG Singapore 2018

09/21/2018

 
Loading...
row-start col-xs-12 row-end agenda-header-section

Agenda

row-start col-xs-12 row-end agenda-section agenda
AMS & Physical Verification General Sessions
Implementation I Implementation II
Verification Continuum 

09/21/2018
8:00 am - 9:20 amGrand Ballroom Foyer
Registration/Breakfast [More Info]
9:20 am - 9:30 amSky Ballroom
Welcome [More Info]
9:30 am - 10:30 amSky Ballroom
Keynote -"The Future of Mobility Autonomous Vehicles" [More Info]
Mr Chee Seong Chua, President & Managing Director, Infineon Technologies Asia Pacific Pte Ltd
10:35 am - 11:00 amGrand Ballroom 3
Design Verification of ISO26262 Automotive IP using Synopsys ZO1X [More Info]
Wai Meng Looi, Mediatek
10:35 am - 11:00 amGrand Ballroom 1
Easytime: A Forward-Thinking Instrument to Analyze Static Timing Reports [More Info]
Deep Yap, Mediatek
10:35 am - 11:00 amGrand Ballroom 2
Enhanced Design Efficiency for Huge High Performance SoCs to Market with Synopsys Design Platform [More Info]
Vy Tran, Renesas
10:35 am - 11:00 amThe Boardroom
Improving Pattern Matching Accuracy with Duplex Pattern Masking [More Info]
Yongfu Li, GLOBALFOUNDRIES
11:00 am - 11:25 amGrand Ballroom 2
Accurate Pipeline Stage Calculation With Timing Based Location Optimization [More Info]
11:00 am - 11:25 amGrand Ballroom 1
Accurate Power Consumption Prediction and Optimizations using Synopsys Tool Suites [More Info]
Govindraya Prabhu S, Infineon
11:00 am - 11:25 amGrand Ballroom 3
SoC Prototyping Challenges and Solutions [More Info]
11:00 am - 11:25 amThe Boardroom
Verilog-AMS Asserts & Drivers to Improve SoC Mixed Signal Simulation Accuracy and Performance for the Safety Audit Compliance [More Info]
Ridhi Saini, Infineon
11:25 am - 12:05 pmGrand Ballroom 2
Achieving Best QOR and Fastest Time to Results with Synopsys' Fusion Platform [More Info]
Arvind Narayanan, Synopsys
11:25 am - 12:05 pmGrand Ballroom 1
Design Compiler Recent Technology Enhancements, QoR Improvements & Roadmap/Customer Success Experience Sharing [More Info]
Hari Narayan Shanmugam, Synopsys
11:25 am - 12:05 pmThe Boardroom
Physically-Aware Simulation and Electrical Analysis with Fusion Technology [More Info]
11:25 am - 12:05 pmGrand Ballroom 3
Whats New in Emulation and Why: Technology Trends and Drivers in Emulation [More Info]
Sivaprasad Acharaya, Synopsys
12:05 pm - 1:15 pmSky Ballroom
Networking Lunch [More Info]
1:20 pm - 2:00 pmGrand Ballroom 2
IC Compiler II Technology Update [More Info]
Arvind Narayanan, Synopsys
1:20 pm - 2:00 pmThe Boardroom
AMS Simulation, Post Layout Simulation, Characterization Update [More Info]
Xi Jiang, Synopsys
1:20 pm - 2:00 pmGrand Ballroom 1
Signoff Power Analysis Driven PrimeTime ECO for Best PPA - Accelerated by Machine Learning [More Info]
Vivek Ghante, Synopsys
1:20 pm - 2:00 pmGrand Ballroom 3
Tackling SystemVerilog and UVM Testbench Debug Challenges Interactive Debug with Verdi and VCS / Customer (Renesas) Success Experience Sharing [More Info]
Pham Trung Kien, Renesas
Eugene Ho, Synopsys
2:00 pm - 2:25 pmGrand Ballroom 1
A Holistic Methodology of Zero-cycle Timing Path for Latency Reduction [More Info]
Simin Xu, Xilinx
2:00 pm - 2:25 pmThe Boardroom
A Practical Library Qualification Methodology with Interconnect Parasitic Consideration in an Automated Correlation Test Cases [More Info]
Lain Shen Tyah, eAsic
2:00 pm - 2:25 pmGrand Ballroom 2
Power Optimization for ASICs: Using Custom Low Power Flops [More Info]
Tinh Ho, eSilicon
2:00 pm - 2:25 pmGrand Ballroom 3
Shift-left Verification for Large Scale R-Car SoC with Formal Verification Methodology [More Info]
Hai Nguyen, Renesas
2:25 pm - 2:50 pmGrand Ballroom 2
Ballooned Placement for Datapath Registers [More Info]
2:25 pm - 2:50 pmGrand Ballroom 1
Best Practices in Synthesis Area Recovery Using optimize_netlist [More Info]
Chin Leong Lou, ChipGlobe
2:25 pm - 2:50 pmGrand Ballroom 3
Case Studies: Efficient Abstract Validation for Catching CDC Bugs in SoC [More Info]
2:25 pm - 2:50 pmThe Boardroom
IC Compiler II - Custom Compiler Co-Design with Fusion Technology / Customer (Renesas) Success Experience Sharing [More Info]
Choo Kiong Then, Synopsys
Thien Tran Vuong Trong, Renesas
2:50 pm - 3:30 pmGrand Ballroom 3
Comprehensive SDC-based Clock Domain Crossing Verification [More Info]
Ajay Rana, Synopsys
2:50 pm - 3:30 pmGrand Ballroom 2
Accelerate Time-to-Market with RedHawk Analysis Fusion and Maximize Design Robustness [More Info]
Bryan Chen, Synopsys
2:50 pm - 3:30 pmGrand Ballroom 1
CCD Technology Highlight/Customer CCD Experience Sharing [More Info]
Anusha Reddy Sindhwala, Synopsys
Phuoc Le Ngoc Vu, Renesas
2:50 pm - 3:30 pmGrand Ballroom 3
Test Bench Solution and Verification IP for Designing Artificial Intelligence SoCs [More Info]
Satyapriya Acharya, Synopsys
3:30 pm - 4:00 pmGrand Ballroom Foyer
Tea Break [More Info]
4:00 pm - 5:00 pmSky Ballroom
Vision Address - The Pace of Innovation [More Info]
Don Chan, Senior Vice President, Design Group, Synopsys
5:00 pm - 5:30 pmSky Ballroom
Best Paper Awards & Lucky Draw [More Info]
row-start row-end col-md-12 sponsorlogos-section center

Thank you to our Sponsors

Platinum

Gold


Corporate